INUSABRIATO AN DEOITO IIIA _- - - - _- _ _ - _- r t Edte by Anr.cac__ P.C.]l P. C. Sl,,a rso+RedemaS C pslo1- ELECTROCHEMICAL PROCESSING IN ULSI FABRICATION AND SEMICONDUCTOR/METAL DEPOSITION II Proceedings of the InternationalSymposium Editors P. C. Andricacos [BM T. J. Watson Research Center Yorktown Heights, New York, USA P. C. Searson Fhe Johns Hopkins University Department of Materials Science and Engineering Baltimore, Maryland, USA Z. Reidsema-Simpson M4otorola 6ustin, Texas, USA P. Allongue Laboratoire de Physique des Liquides et Electrochimie University P. & M. Curie Paris, France J. L. Stickney University of Georgia Department of Chemistry Athens, Georgia, USA G. M. Oleszek University of Colorado Department of Electrical and Computer Engineering Colorado Springs, Colorado, USA 'F. ELECTRONICS AND DIELECTRIC SCIENCE AND TECHNOLOGY DIVISIONS Proceedings Volume 99-9 } THE ELECTROCHEMICAL SOCIETY, INC., 65 South Main St., Pennington, NJ 08534-2839, USA Copyright 1999 by The Electrochemical Society, Inc. All rights reserved. This book has been registered with Copyright Clearance Center, Inc. For further information, please contact the Copyright Clearance Center, Salem, Massachusetts. Published by: The Electrochemical Society, Inc. 65 South Main Street Pennington, New Jersey 08534-2839, USA Telephone 609.737.1902 Fax 609.737.2743 e-mail:
[email protected] Web: http://www.electrochem.org Library of Congress Catalogue Number: 99-69039 ISBN 1-56677-231-1 Printed in the United States of America PREFACE The Symposium on Electrochenical Processing In ULSI Fabrication II was held on May 3 - 6, 1999 in Seattle, Washington in the context of the 195th Meeting of the Electrochemical Society. It was the second of a series of symposia held annually during Spring meetings of the Society. The goal of this symposium was to capture from the beginning the explosive growth that electrochemical processing is experiencing as a result of the immense opportunities that semiconductor fabrication offers, as witnessed by the recent emergence of electroplating as the process of choice for copper deposition in on-chip interconnections. Another goal of the Symposium was to bring together practitioners from all aspects of electrochemical processes from the most fundamental to the most applied. Both goals are being accomplished as evidenced by the papers being published in this volume as well as the proceedings volume of the 1998 symposium. We are grateful to the participants for making the Symposium a success. November 1999 Symposium Organizers: Panos C. Andricacos Peter C. Searson Cindy Reidsema - Simpson PhilippeAllongue John L. Stickney GeraldM. Oleszek TABLE OF CONTENTS PREFACE ........................................................................................................................... Copper Interconnect Technology in Semiconductor Manufacturing ............................. Daniel C. Edelstein, P.C. Andricacos,B. Agarwala, C. Carnell, D. Chung, E. Cooney III, W. Cote, P.Locke, S. Luce, C. Megivern, R. Wachnik, and E. Walton Extendibility of Electrochemical Deposition for High Aspect Ratio Copper Interconnects ........................................................................................................ Sergey Lopatin Experimental and Numerical Study of Leveling of Submicron Features by O rganic Additives ....................................................................................................... James J. Kelly and Alan C. West A Novel Electrolyte Composition for Copper Plating In Wafer Metallization ................. Uziel Landau, John D'Urso,Andrew Lipin, Yezdi Dordi,Atif Malik, Michelle Chen, and PeterHey iii 1 9 16 25 STM Studies of Halide Adsorption on Cu(100), Cu(110), and Cu(111) ........................ 41 T.P. Moffat A Model of Superfilling in Damascene Electroplating .................................................... H. Deligianni,J.O. Dukovic, P.C.Andricacos, and E.G. Walton A Mass Transfer Model for the Pulse Plating of Copper Into High Aspect Ratio Sub-0.25Izm Trenches ............................................................................................ Desikan Varadarajan, Charles Y.Lee, David J. Duquette,and William N. Gill Numerical Simulations of Fluid Flow and Mass Transfer within an Electrochemical Copper Deposition Chamber ............................................................. P.R. McHugh, G.J. Wilson, and L Chen 52 61 71 Model of Wafer Thickness Uniformity In an Electroplating Tool .................................. 83 H. Deligianni,J.O. Dukovic, E.G. Walton, R.J. Contolini, J. Reid, and E. Patton Bath Component Control and Bath Aging Study for a Cu Plating System Using an Inert Anode ..................................................................................................... Mei Zhu, Yi-Fon Lee, Demetrius Papapanayiotou,and Chiu H. Ting 96 V The Effects of Process Parameters on the Stability of Electrodeposited Copper Film s ................................................................................................................................. Brett C. Baker, David Pena, Matthew Herrick, Rina Chowdhury, Eddie Acosta, Cindy R. Simpson, and Greg Hamilton Dopants in Electroplated Copper ..................................................................................... P.C. Andricacos, C. Parks, C. Cabral,R. Wachnik, R. Tsai, S. Malhotra, P. Locke, J. Fluegel, J. Horkans, K. Kwietniak, C. Uzoh, K.P. Rodbell, L. Gignac, E. Walton, D. Chung, R. Gefjken ECD Seed Layer for Inlaid Copper Metallization ........................................................... L. Chen and T. Ritzdorf 103 111 122 Thermodynamics of Faceting on the Submicron Scale in Copper Electroplating .......... 134 Q. Wu and D. Barkey Deposition of Copper on TIN From Pyrophosphate Solution ......................................... John G. Long, Aleksandar Radisic, PeterM. Hoffmann, and PeterC. Searson 149 Electrochemical Study of Copper Deposition on Silicon Surfaces in HF Solutions ....... 156 L Teerlinck, W.P. Gomes, K. Strubbe, P.W. Mertens, and M.M. Heyns Charge Exchange Processes During Metal Deposition on Silicon From Fluoride Solutions ............................................................................................................. P. Gorostiza, R. Diaz, F. Sanz, J.R. Morante, and P. Allongue Evaluation of Effects of Heat Treatment Electroless Deposited Copper ........................ Kai Yu Liu, Wang Ling Goh, and Man Siu Tse Cu Electroplating on n-Si(111): Properties and Structure of n-Si/Cu Junctions ......................................................................................................... T. Zambelli, F. Pillier,and P. Allongue The Use of Copper Based Backmetal Schemes As a Low Stress and Low Thermal Resistance Alternative for Use In Thin Substrate Power Devices ................................... T. Grebs, R.S. Ridley, Sr., J. Spindler, J. Cumbo, and J. Lauffer 160 168 177 185 Possibility of Direct Electrochemical Copper Deposition Without Seedlayer ................ 194 H.P. Fung and C.C. Wan Modulated Reverse Electric Field Copper Metallization for High Density Interconnect and Very Large Scale Integration Applications ......................................... 201 J.J.Sun, E.J. Taylor, K.D. Leedy, G.D. Via, M.J. O'Keefe, M.E. Inman, and C.D. Zhou Vi Electrochemical Codeposition and Electrical Characterization of a Copper - Zinc Alloy M etallization ................................................................................... Ahila Krishnamoorthy,David J. Duquette, and Shyam P. Murarka Electrodeposition of Cu, Co, and NI on (100) n - SI ....................................................... A.A. Pasa,M.L. Munford, M.A. Fiori,E.M. Boldo, F.C. Bizetto, R.G. Delatorre, 0. Zanchi, L.F.O. Martins,M.L. Sartorelli,L.S. de Oliveira,L. Seligman, and W. Schwarzacher X - Ray Photoelectron Spectroscopic Characterization of a Cu / p - GaAs Interface ............................................................................................................................ E.M.M. Suttter,J. Vigneron, and A. Etcheberry Copper CMP Characterization by Atomic Force Profilometry ...................................... Larry M. Ge, Dean J. Dawson, and Tim Cunningham 212 221 231 238 Anodic Properties and Sulfidation of GaAs (100) and InP (100) Semiconductors ........ 242 R.F. Elbahnasawyand J.G. Mclnerney A Study on Electrochemical Metrologies for Evaluating the Removal Selectivity of Al CM P ....................................................................................................... Shao-Yu Chiu, Jyh-Wei Hsu, I-Chung Tung, Han-C Shih, Ming-Shiann Feng, Ming-Shih Tsai, and Bau-Tong Dai Nucleation and Growth of Epitaxial CdSe Electrodeposited on InP and GaAs Single Crystals ........................................................................................................ L. Beaunier,H. Cachet, M. Froment,and G. Maurin Formation of I1-VI and III-V Compound Semiconductors by Electrochem ical ALE ........................................................................................................ Travis L. Wade, Billy H. Flowers, Jr., Uwe Happek, and John L. Stickney Electrochemical Synthesis of Thermoelectric Materials by Electrochemical Atomic Layer Epitaxy: A Preliminary Investigation ...................................................... Curtis Shannon, Anthony Gichuhi, PeterA. Barnes, and Michael J. Bozack 256 263 272 282 CDs and ZnS Deposition on Ag(111) by Electrochemical Atomic Layer Epitaxy .......... 294 M. Innocenti, G. Pezzatini, F. Forni,and M.L. Foresti CuIn,.Ga.Se2 - Based Photovoltaic Cells from Electrodeposited and Electroless Deposited Precursors ........................................................................................................ R.N. Bhattacharya, W. Batchelor,J. Keane, J. Alleman, A. Mason, and R.N. Noufi 309 vii . Khoperia Notch............. and Jung-wook Shin 340 352 361 Interracial Structure of Si/SiO 2 Studied by Anodic Currents in HF Solution ............2....... and A........... C......... Erni....osTe ....................... Chen Microfabrication of Microdevices by Electroless Deposition .......... Wei-Chin Ng. Doesburgand D.............N.... Hirokazu Fukidome.... Tze-Man Ko... Etcheberry... 366 Naomi Mizuta........ T............................ Kae-hoon Lee...... Seung-joon Kim................. Vigneron.....Te by Oxidation in Basic Media .....................G......sZno.................. Etcheberry Passivation Process of Hgo.....and Foot-Free Dual Polysilicon Gate Etch ... B...... 79Cdo.......... and Michio Matsumura Effect of Dissolved Oxygen on Surface Morphology of Si(111) Immersed in NH 4F and NH 4OH Solutions .............................. Kwan-ju Koh........Electrochemical Deposition of Gold on N-Type Silicon .H... Debiemme-Chouvy.. Gerko Oskam and PeterC........... and William T.. Searson 318 Co-Deposition of Au-Sn Eutectic Solder Using Pulsed Current Electroplating ................ Hong-seub Kim.......................... Ivey Zincation Treatments for Electroless Nickel Under-Bump Metallurgy in Flip-Chip Packaging ..... Dominique Ballutaud..................................... A....... C..... C.J..............and Robert Triboulet 373 379 385 viii .. Frank Lefivre... Mathieu.. Dominique Lorans.......... Debiemme-Chouvy..................... Hirokazu Fukidome and Michio Matsumura Porosity and Surface Enrichment by Tellurium of Anodized p-Cdo....... 329 J. Papers presented in symposia at Society and Topical Meetings are published as serialized Proceedings Volumes. Major international meetings of the Society are held in the Spring and Fall of each year. Interface . is an international. The Technical activities of the Society are carried on by Divisions and Groups. Monograph Volumes . and related disciplines. advertisements. the Divisions and Groups hold general sessions and sponsor symposia on specialized subjects. For more information on these and other Society activities. The Society was founded in Philadelphia in 1902 and incorporated in 1930.html. Papers are published as available at http://www3. Papers submitted for publication are subjected to careful evaluation and review by authorities in the field before acceptance. There are currently over 7.electrochem.. solid-state science.Letters is the Society's rapid-publication. electronics. and articles on technical matters of interest to Society Members in a lively.The Society sponsors the publication of hardbound Monograph Volumes. It is a joint publication of the ECS and the IEEE Electron Devices Society. Local Sections of the Society have been organized in a number of cities and regions. the Society is also supported by more than 100 corporations through Contributing Memberships. Meeting Abstracts (formerly Extended Abstracts) . educational organization founded for the advancement of the theory and practice of electrochemistry. INC.org/letters. The Society has an active publications program which includes the following: Journal of The Electrochemical Society .org ix .Meeting Abstracts of the technical papers presented at the Spring and Fall Meetings of the Society are published in serialized softbound volumes.Interface is a quarterly publication containing news.000 scientists and engineers from more than 70 countries who hold individual membership. This peer-reviewed journal covers the leading edge in research and development in all fields of interest to ECS. Proceedings Series . Groups. reviews. and Local Sections. and allied subjects. Also featured in each issue are special pages dedicated to serving the interests of the Society and allowing better communication among Divisions.electrochem. which provide authoritative accounts of specific topics in electrochemistry.The Journal is a monthly publication containing technical papers covering basic research and technology of interest in the areas of concern to the Society. At these meetings. electronic journal. nonprofit. and high standards are maintained for the technical content of the Journal. scientific. Inc. The Electrochemical Society.FACTS ABOUT THE ELECTROCHEMICAL SOCIETY. electrothermics. visit the ECS Web site: http://www. casual format. Electrochemical and Solid-State Letters . These provide up-to-date views of specialized topics and frequently offer comprehensive treatment of rapidly developing areas. Cu interconnect demonstrations have been shown in the literature for years.22 gim CMOS generation. and a new generation high-end Server was announced with Cu-interconnected microprocessors' (up to 14 in parallel) and support chips. tFurther author information - E-mail: edelstei@us. C. New York and Essex Junction. Edelstein'. R. and shipped to external and internal customers. qualified. patterning. Wachnik. These problems are worked out specifically for dual-Damascene pattern formation. Since then. To manufacture chips with Cu interconnects. P. significant defect learning and process enhancement has occurred. in this case for logic products in its 0. USA B. Phone: (914) 945-3051. Vermont. Agarwala. S. the robust nature of the electrochemical processes employed has aided in this success.to Cu-based interconnect manufacturing. Throughout. coupled with the dual-Damascene patterning scheme. Chung. Carnell.com.ibm. Locke. Cooney ILL. Early demonstration hardware began shipping by the end of 1997. and at the same time maintain performance.C. an automated wafer Cu electroplater. C. Megivern. from ASICs to Foundry offerings. and the next generation CMOS parts including embedded DRAM3 . and subsequently "qualified for shipping" (from a high-volume line) several months later.Hopewell Junction. at the end of 3Q98. hundreds of thousands of 6-level "copper-chip" microprocessor modules were shipped. and those on SO1 substrates4 . Fax: (914) 945-4015 Electrochemical Society Proceedings Volume 99-9 . significant process development has been required to successfully bring such a revolutionary technology to product yield levels. and E. Here we show data that illustrate the successful implementation of this new technology in manufacturing. it is notable that only one new type of tool. the technology had been transferred to the IBM Microelectronics manufacturing line in Vermont. By this time. but behind the scenes. INTRODUCTION In August 1997. a number of parts have been ramped up in volume. as part of the requisite course for an altogether new technology at the state of the art groundrules. we are enabled by bringing in several electrochemical and chemical processes. W. Some of this learning is germane to the new Cu processes. D. P. New York.Cote. and quality standards. was required to make the transition from Al. Andricacos IBM T.Copper Interconnect Technology in Semiconductor Manufacturing Daniel C. By the date of this conference. and their control at the aggressive dimensions for this CMOS generation. At the same time. but a significant part is related instead to the lithography. Yorktown Heights. and the manufacturing qualification checkpoint was successfully reached on schedule at end of 2Q98. including Cu electrodeposition and chemical-mechanical polishing. reliability. from PC 2 to high-end server CPUsi. Walton IBM Microelectronics. This technology has remained on track for a full range of logic chips. which achieved Its ship qualification as scheduled. Watson Research Center. in the Advanced Semiconductor Technology Center in New York. IBM announced 6 its schedule for what would be the first implementation of Cu interconnect technology on IC chips. USA ABSTRACT CMOS integrated circuit technology with Cu interconnections first reached the point of "qualified for manufacturing" at the end of 2Q98. Luce. At every level of this development and qualification.. J. E. nearly all process recipes had to be redeveloped to yield Cu-Damascene interconnects in SiO 2 dielectric with Si3N4 caps. and innovation in Cu at IBM.g. reducing or eliminating capacity for metal-RIE. CVD-W. This work made it clear that electroplating offered significant reliability improvements and cost of ownership reductions relative to some of the main contenders such as CVD and PVD. The first public acknowledgment of IBM's use of electroplating was much later'2 . and lithography platforms). understanding of new types of defects and failure modes (while eliminating old ones). and physics issues. redeploying oxide. On the other hand. and directed university research 9. testing. dep-etch. such as PVD liner and seed deposition. and dual-Damascene patterning. certain cleaning processes. such as electroplating. and dep-etch SiO 2). as part of a Sematech contract". the detailed understanding and optimization of the reliability of these chips often relied on the knowledge of fundamental materials.). though this fill method was not divulged until later. when the full CMOS technology was announced. In addition. The potential yield and reliability of Cu-Damascene interconnects has often been assumed and espoused. and qualification under stress. It is the case. and their impacts on reliability. Finally. or shift in capacity (e. RIE. These evolutionary and new processes required significant yield learning. various suppliers 8 . ELECTROPLATED COPPER The most prominent new process introduced for Cu interconnects is Cu electroplating in high aspect ratio submicron Damascene features. In some cases. the impacts of potential defects were coupled to subsequent or even preceding integration steps. as we demonstrate in the following sections. significant industry activity was spurred for developing plating processes and tools. that this potential can be realized. and the adjunct contributions and support of Cu-related research. Only one new type of tool. was required to meet manufacturing needs for Cu interconnects.THE TRANSITION TO COPPER The transition in manufacturing from AI-RIE/W-Damascene to Cu dual-Damascene BEOL can be considered evolutionary in tooling. Moreover. and reflow. Other tooling changes could instead be described as: no change or upgrades (e. obsolescence (e. and the transition from gapfill to planar interlevel dielectric deposition. though. The reliance on years of investment.and W-CMP tools for Cu-CMP. electrochemical. and revolutionary in processes. have all been crucial in leading to the as-scheduled qualification and shipping of the first Cu chips. experience. The growing contributions and alignment of the industry.g. following this work. and uniformly on 200 mmn wafers. By that time. the multiple cycles through the full integration. which had been investigated for fill and abandoned earlier at IBM. etc. Copper electroplating for Damascene on-chip interconnects was already in use by IBM since before the first publication of multilevel Cu/polyimide interconnects'0 . Some recipes were the same or simply changed. Details of this have not been discussed. it cannot come without significant online experience and integration cycles. along with several other techniques including electroless. Still other recipes were new and unique to Cu. including cooperation on integration work at Sematech7 . and the migration from Wand Si0 2-CMP to Cu-CMP. joint work with a supplier was already underway 2 Electrochemical Society Proceedings Volume 99-9 . an automated wafer Cu electroplating system. metal and ILD deposition. are expected to help speed the progress of the semiconductor industry at large towards Cu manufacturing. but it can be stated that both Cu and prior-generation Al-based technologies are simultaneously manufactured in the same production lines. but reaching this potential is not trivial. such as certain lithography and RIE levels. and continues growing to this day in the Research and Microelectronics Divisions. Others were evolutionary. this knowledge had been accumulated over many years.g. the appropriate protocols had to be developed and implemented to insure that Cu contamination cocerns were alleviated. but required significant optimization. and so fully functional chips and stresses were important in solving the problems that arose. ECR. this behavior results from the diffusion-limited supply of plating inhibitors to the hole bottoms and bottom sidewalls relative to the top surfaces. but has only recently been studied extensively by the 9 semiconductor community 20. achieving 10G 20 " uniform deposits and controlling the respective baths used. It remains fascinating that Cu electroplating. As time has progressed. thereby eliminating grain boundaries as fast diffusion paths for electromigration in these interconnects. 2. . there are several commercially available electroplating tools. 3. Earlier. and tool offerings already existed or were under development at other companies. Cu electromigration is then relegated to surfaces and interfaces2". Solving these then becomes critical for overall reliability performance. Holefill evolution vs. A second phenomenon which contributes to good Cu reliability is the low-temperature self-annealing behavior of additive-based electroplated Cu' 7 6 . At present. leaving the holes open for filling. but our experience was otherwise. adding Fig. low cost.5:1 Cu Damascene interconnects'".Wtf. 1. none were found to be rooted in our Cu electroplating process. As the bulk Cu self-diffusivity is so low.-* d. A good Cu electroplating process also 26 "o Fig. The w. As studied in ref. i t turn \ _ . high repeatability. Holefill evolution for electroplated Cu with superfilling additive bath13.10 gim 4. and low maintenance. there had been general skepticism that such a process could be made to work reliably and at high volumes and acceptably low defect levels for semiconductor manufacturing. As outlined in ref. a uniformly large grain size distribution maximizes the proportion of "bamboo-like" interconnects out to larger linewidths. superfilling phenomenon increases for increasing aspect ratios and decreasing dimensions. Most telling was that as yield or reliability problems arose and were solved. 3. This holefill evolution has been modeled successfully for a variety of hole shapes and plating conditions (fig. Superfilling leads to void-free. ref's.. 21. T IM/ contributes to improved yield and reliability of the resulting Damascene Cu interconnects. l"). notable example is the successful filling (and electrical confirmation) of 0. 214).. Fig. degree of conformality in deposition process"4 . 14. which would otherwise be present for sub-conformal or conformal deposition (fig. tool simplicity. a suitably optimized electroplating process was seen to have a very wide window.. This behavior was known in •' the past to the electroplating industry. The first comes from the striking "superfilling" behavior of a plating bath with inhibitor additives (fig. Two such contributions are mentioned here. thereby eliminating certain fast diffusion paths for Cu electromigration. 15). A simulations using superfilling model1 4.to develop a new wafer electroplating tool3. a room-temperature process with Electrochemical Society Proceedings Volume 99-9 3 . Cu plating has exhibited its robustness over years of development and now manufacturing. all of which are capable of filling deep-submicron interconnects on 200 mm wafers. and to various degrees. seam-free Damascene deposits (assuming a continuous seedlayer exists). 14. Correlation of holefill profile to to the extendibility of this fill process. but still well within acceptable limits. thickness uniformity for manufacturing Cu electroplater'3 . This 2 value is the same as is derived from our integrated Cu interconnect resistances" .000 wafer run' 3. 4.00% (10) Fg 4o Wtpoo0 301fIor map . j 1. The previous thickness data was obtained from blanket-film depositions.79 gi(-cm is confirmed.a deposition rate of fractions to 1 AIm per minute.-. Thus the principal advantage of Cu.0 . Figure 413 shows a resistivity map of a 2 jim deposit on a thin seedlayer.. Recently.013 dEr-1 -pe -.. Fig.. 4 Electrochemical Society Proceedings VoIlume 99-9 .72% average nonuniformity over a 5. can lead to essentially single-grain (highly twinned) deposits over large areas. 5. as indicated by the data in fig. showing 1. As Damascene patterns can influence the thickness measurements (which are based on sheet resistance).014 0. 6. The post-annealed Cu resistivity is 1. 7 We-to-Wf Rpt average nbliuy fo(ty% 1. 7. The process is quite repeatable from wafer to wafer..000 wafer test for 1. Mayadas-Schatzkes grain boundary scattering.72%t()) 0 1000 2000 200p 4000 0000 Wafer Count m Fig. as in fig. Wafer-scale 1. and predict the range of measured results. 6 mi 4 m 1.016 1.. with grain sizes that can substantially exceed the film thicknesses.-. Wafer . using our developed tool and plating process. and abnormal grain growth of the plated Cu. Figures 4 . Wafer-scale 2 i. but to be manufacturable.8 show Cu plating data from wafer marathons and CMOS production.0% (Ia) uniformity.wafer mean thickness repeatability for 17.3 jim plating thickness. the full-wafer process itself must also have very good performance. the room-temperature resistivity and stress relaxations. Thinner films tend to be less uniform.000 wafer run'1. is preserved by the wafer electroplating process. these data imply a very repeatable process. Fig. which shows a 0.wafer mean thickness repeatability for production microprocessor lots. Figure 513 shows 1.3 p deosthn 1000oot 188.79 ýdQ-cmn. The electroplated Cu fill is thus seen to perform well in features. but a high repeatability in actual microprocessor production is also seen.2h cml% Cr .3 3 for 5. -oe --- --- 1 .65% tIc).---04 0. Expressions for Zener pinning. Wafer . and Chaudhari grain boundary volume are invoked.- 0 Lot If Fig. 1. From the mean sheet resistance and the post-measured thickness. It is also important to maintain the bath chemistry in a production environment. a post-anneal Cu resistivity of 1. 613. Here the lot-lot reproducibility of Cu mean thickness over months of production is shown to be well within the process specification limits. a model has been presented22 which addresses. n thickness uniformity 0. and does not rise with subsequent thermal cycles.m wedrnte. its low resistivity.000 wafer marathon.65% (la) repeatability in mean plated Cu thickness for a 17. 7.4 F Wafer Cust W-wafer epeatability Re 0. Ostwald ripening. .. .. a wide variety of patterns.. but are T . The switch to an entire Damascene-based interconnect technology. improvement depends not only on a defect-free plating process.. . Production via resistance data for single vias (upper graph) and dual-via links. and vertical overlays.. a .. 02. SPC data for bath component concentration collected during 5+ months of production. seedlayers. with <0.. ... Other bath parameters are also successfully monitored and controlled. with elimination of the (extra) ILD planarization step between levels for AI(Cu)/W.. These levels are obtained after significant Electrochemical Society Proceedings Volume 99-9 5 .... Overall interconnect yields (e.3 (0/via. and cleaning processes. ....6 Mlink indicated over many lots.-- a 2 shorts) depend not only on good vias.... . .. The significant advantage of Cu for low via contact resistance and tight distributtons is indicated. . Defect levels after MI and M3 CMP + be optimized for multilevel interconnects with test. This success S. good via resistances contribute significantly to the yield and performance of Cu multilevel interconnects.. . ....o M .. 10..g.. ... ... but full integration data is required to confirm this.. liners... as is necessary to yield chips with increasing circuit density and decreasing critical dimensions. YIELDS AND DEFECTS The plating process has thus been shown in some detail to exhibit good qualities of a manufacturable process. are brought down to typical levels for random FM-related defects. 9. but ultimately can lead to superior results at the ever-decreasing dimensions. ..o Fig...... and <0... " a l°* "* ... . 10..... . sensitivity 6 6 M p ... Cu vias have < l/2X resistance relative to the best AI(Cu)/W data at similar dimensions. . (As is typical for Damascene. .... . post-inline test (after CMP) defect densities at MI and M3. as in the more mature technologies... . _.. With all these elements established... but also on robust patterning. these processes must all Fig.. a .... opens and 4 - . "... ... As in fig. Figure 9 shows single-via and via-chain (2 unlanded vias + line segment per link) resistance data. densities.Figure 8 shows statistical process control (SPC) data from months of production. This defect reduction continues to improve. can change the relative importance of different defect contributors. .. showing a bath component concentration to be within the process limits..... As shown 2 earlier" . .. 8. with similar results in the manufacturing data. .a ...... .. results for multilevel Cu interconnects.. taken over several months of production. *r. but on all the integration elements connecting together successfully to yield chips. these defects do not necessarily lead to yield loss). beyond the levels associated with previous technologies.. j . . . Time Fig..Monthly also mediated by defects in the patterning and Damascene processes..... ---------- - - ° TM Sa 4 a a#... Here qualification data is shown that parallels 2 earlier published data" but now at full manuThe data show excellent facturing levels.. the very low Cu contact resistance Is realized routinely. this relies not only on a robust plating process. . on production microprocessor lots. . Gate oxide integrity for ship qualification hardware. subsequent CMOS Logic generations are proceeding through their qualification processes on schedule. although certain factors may be reevaluated for differing product requirements associated with portable and desktop systems. . ai The deciding measure of process yield is the chip yield at wafer final test. with a 20% resistance rise fail criterion. 12 for lots 23 from a line qualification .. Such data indicate robustness not only of the manufacturing Cu plating process.I performance 6-level microprocessor in a new 1_ _VV design. .. Figure II shows the d A production yield ramp of a large. and increase volume in new markets such as ASICs and Foundry offerings. as well as IBM's internal Logic needs for its range of systems. With the advent of Cu in the manufacturing lines. Electromigration data from this qualification is shown in fig. data is shown for two process alternatives.o Time to Failure (s) ~ tog Fig. broaden the number of products. Gate oxide integrity is shown in fig.. it is essential to monitor and confirm that product reliability is maintained at or above the specified levels.. The typical ups and downs associated c are with new problems (and their remedies) indicated. . as well as successful ramping to well Lot # beyond the target level for this stage of production. all with Cu interconnects. ' :. it is also important to confirm no Cu contamination of devices is taking place. . 6 Electrochemical Society Proceedings Volume 99-9 . CMP. -N :5" LA90•.u 4 O0 0 -2 -4 . ý 0. VT F .. . This represents one of several very complex Fig.development and improvement of the patterning.. These data mirror the positive results obtained at the development/early manufacturing line.) Fig. both of which were found to exceed specifications. ' I specifications.. 12. Electromigration stress (terminated at 1000 hrs. 13. Yield ramp for a large. and cleaning processes as the technology is pushed up the product yield curves.. I I . These levels are usually determined by the most stringent requirements of the "mission-critical" high-end server (Enterprise) systems. respectively for the two processes. high performance. 11. and high-performance CMOS logic chips with Cu interconnects that have successfully yielded in a production environment. 13 for upper-level dual-Damascene lines and vias. The stress was 295"C and 2. . but of the entire integration process as well.) for production hardware. and indicate no Cu contamination. (before sufficient failures had occurred). 6-level microprocessor. .5 MA/cm 2. . I E c design rev. RELIABILITY Throughout the migration from development to manufacturing and shipping chips. i I i I f T If i i Tl*t*peltr(hr. . with hot-carrier lifetimes that meet or exceed the technology to~ *. Current emphasis is in the planned continuation to increase yields. with projected tso times of -300 and -1000 hrs..u . At the same time. high. o . The stress was terminated at -1000 hrs. Tech. Mtg. (to be published. in the fabrication of advanced IC chips. CONCLUSION It is shown that a CMOS integrated circuit technology with full Cu interconnects can be brought into a manufacturing environment. Proc. Tech. 6) 7) 8) L. Multilevel Interconn. The remaining processes and tools are either the same or evolutionary.-State Circuits Conf. Two large populations of modules showed comparable data for the two manufacturing lines producing Cu chips. Copper interconnect technology is an exciting area for the electrochemical community in particular. 2) 3) N. IEEE Int. et al. At IBM. and Ronald A. as a necessary part of the product qualification23 . Proc. Dig. REFERENCES 1) T. Powell.. Electron Dev.Fully-assembled chip modules were evaluated during and after full functional stress and burn-in. Electron Dev. Electrochemical Society Proceedings Volume 99-9 7 .. IEEE Int. ACKNOWLEDGMENTS The authors gratefully acknowledge the essential contributions from a great number of our colleagues. Leobandung. 3508. The failure rates in both cases were within the specification limits. Monnig. high-temperature functional stresses. McPherson. Proc. IEEE Int. 25 (1998). Tech. SPIE Conf. especially for the first time. Sol. Mtg. and no problems endemic to Cu were found.. (1998). Cu interconnect technology remains on schedule for expansion of the range of chip products. et al.. Microprocessor Report. including direct Cu integration work by the tooling suppliers and Sematech. who share credit for the successful innovation and implementation of Cu interconnect technology. Ajmera.-State Circuits Conf. Harrus. 11. Dahm and K. quality. Electron Dev. involvement. Gwennap. and multiple blocks of thermal cycles. give the focus. to yield complex multilevel logic chips. Alain S. II. or performance. 14 (1997). Proc. J. et al. Dig. proper yields at manufacturing volumes are obtained. and rapid progress of the rest of the industry. S. IEEE Int. though entire re-optimization is required for multilevel Cu dual-Damascene fabrication. is brought to manufacturing levels with random defect densities typical of a mature technology at these critical dimensions. A significant number of learning cycles were required to reach this point. 2000). (to be published.. 4) A. is robust and well-controlled at high volume production. Tech. Advanced Metallization Conf. 3 (1998). 1017 (1998). Rohrer et al. Dig. Crowder. With this optimization. wafer Cu electroplating. in the Research and Microelectronics Divisions.. John Kelly. as it invites the pursuit of new applications for electrochemical processes and related understanding. The one completely new process. Mtg. 1999).. analogous to the SRAM module stress data reported earlier"2 . IEEE Int. though difficult initially (as for any such revolutionary change).. and qualification of subsequent CMOS generations. Sol. too numerous to mention. et al.. with no compromise in reliability. Yield. This learning investment may be reduced for subsequent entries into Cu technology. 5) E. 240 (1998). Data were acquired for early-production 6-level Cu microprocessors carried through high-voltage. 13) Novellus Systems. K. L. Deligianni. I. Apple. C. 18) C.J. Luther et al. Int.C. Lee. Noyan. Intercon. and C.C. IEEE Int. Metalliz..B. Proc.. 15) H. VLSI Multilevel Intercon. Uzoh.. Uzoh. K. presented at IEEE Int.G. Intercon. J. Matsumoto and M.-N. 14) P. Roper. p.M. et al. 10) B. Edelstein. 267 (1999).. Lingk. D. Dev. Soc. Harper. 2516 (1999).E.S. C. Proc. J. and C.M. Adv. Dukovic. Yasuda. 21) C. Sematech contract report (1996). (1998).. 195th Mtg. Proc. Proc. Tech. ed's.-K.C. Chan. 166 (1998).. Adv. and E. Res. (1998). Andricacos. Res. Proc. 42. Conf.C. Kikkawa. Sabre Electroplating System. 20) for example. Jr. EE Times. Intercon. N. Soc.P. Alleyne. 8 Electrochemical Society Proceedings Volume 99-9 . et al. Gignac. Tech. et al. and Proc.. Metalliz.-K. D. 19) C. Conf.. ed's.Y. Adv. IEEE Int. Cabral. Kobayashi. P.C.. 12) D. 6 (1998). 23) IBM CMOS Quality Report (to be published). Andricacos. (1999). Agarwala. K. Dukovic. 81 (1998). S. 17) T. Mat. Horkans.. Tech. IBM J. Phys. C. Conf. 11) J..O. Andricacos. H. Mat. Soc. Fraser. CA (1998). Inc. S. 514. Rosenberg. Graham. Electron Devices Mtg. Conf. Nguyen. Edelstein et al.. 89 (1998). 86. Harper. L. Gignac. "Advanced Metallization Conference in 1998". Warrendale. and J. "Advanced Interconnects and Contacts". 773 (1997).Weitzman. Rossnagel. Ozturk.-K. 287 (1998).. Koerner. Cabral. M. T. July 13 issue. P. Hu. P. J. H. Conf. Mat.. 16) C.. 567 (1998). C. Tech. Walton. Mu. P. and H. Jin. Semicon West. Ritzdorf. Murakami. Res. Digest IEEE Intern. and D. Metalliz. Electrochem. Deligianni. 15 (1993). K. Conf. Abstr. and B.. Y.. 29 (1998).. 564. Burlingame.E. Sandhu. Jr.S. J. Locke. Rodbell. Rathore.. Hu. and E. Soc. Hummel.O. L. G. 267 (1999). Conf. Tu.9) for example. M. 22) J. R. Res. Hu. CA 94008-3453 Abstract-Copper electroplating processes with pulse reverse (PR) conditions were employed for filling high aspect ratio. INTRODUCTION Copper has been identified as an interconnect material for high performance microprocessor structures because of its low electrical resistivity (1.cm) and high activation energies for lattice electromigration (2. An enhanced deposition at the lower sidewalls and at the bottom of the high aspect ratio vias was found to fill vias of (4. The use of a leveling agent and pulsed deposition appears to be ideal for the production of void-free Cu deposits [11 because the off-time and reverse current significantly improve the deposition rate distribution along the sidewalls [2]. Sunnyvale.5 pim. In order to achieve such reduction.18 pm lines formation. It included Cu electrolytic plating on a thin seed layer to fill trenches-vias with <111> texture film and chemical-mechanical polishing (CMP) to remove Cu from the dielectric surface. electromigration can be reduced by restriction of diffusion pathways along the surface. This can be achieved if the deposition rate along via and trench sidewalls is greater at the bottom and lower sidewalls while the trench-via top opening remains open. For a damascene process with full Cu encapsulation by barrier materials. a dual damascene approach was adapted for the Cu interconnect fabrication in dielectric layers. Electromigration failures in Cu interconnect are dependent on surface conditions because (unlike Al alloy) the surface and interfacial diffusion of Cu has a lower activation energy than grain boundary diffusion. the copper electroplating process must provide a completely filled structure in which voids and entrapments of electrolyte are absent. Voids and surface seams in damascene Cu EP lines-plugs should be also eliminated to maximize electrical conductivity of the lines. slightly tapered vias of different nominal diameters (0. For the same depth with high aspect ratios. Electroplating is a preferred technique for copper interconnect formation in integrated circuits due to its high trench filling capability and relatively low cost. These experiments have verified predictions of a nonuniform time-averaged current distribution in high aspect ratio vias. The distribution of reaction rates on the trench-via sidewalls can be predicted from variations in the concentration of copper ions [2] and the action of the leveling agent [3] at the trench-via corners.1 eV). Due to the difficulty of etching Cu for sub-0.EXTENDABILITY OF ELECTROCHEMICAL DEPOSITION FOR HIGH ASPECT RATIO COPPER INTERCONNECTS Sergey Lopatin AMD. the difficulty of filling worsens from a simple trench to a dual damascene trench-via to a single via: filling difficulty single trench dual damascene trench-via single via Electrochemical Society Proceedings Volume 99-9 9 . Copper electroplating (EP) with modified pulses also was effective for filling 0.13 gm wide high aspect ratio (8:1) trenches. resulting in a fully planarized Cu/dielectric structure.5-10):1 aspect ratios.2-1 gtm) in a constant dielectric thickness of 2.3 eV) and grain-boundary self-diffusion (1.67 gOhm. Since the step coverage of the seed/barrier layer and Cu EP film for dual damascene structures should be less difficult than for single vias of the same aspect ratio. the opposite effect will hold. and id are the rates of reduction and dissolution processes respectively.qV. High aspect ratio plugs formed for single via filling are also beneficial in order to meet dual damascene stacked vias (trench size = via size) demands for sub-0. At these conditions the averaged reaction rate (2) i=ir .(p. is not equal to the potential in solution. When the metal electrode has a specific adsorption of different ions and organic molecules on the surface. i.Since high aspect ratio sub-0. The potential difference driving the electrode reaction. where 0"f is metal potential. the Cu film deposition process involves the reduction reaction occurring at the electrode surface. these step coverage results should be useful for dual damascene interconnect schemes. through variation of (p with E. In the absence of specific adsorption. high aspect ratio vias using Cu EP process with PR conditions. 4p < 0. This reduction reaction can be described as following: i. These potential differences in the double layer. the location 10 Electrochemical Society Proceedings Volume 99-9 .id The structure of the double layer and the specific surface adsorption can affect the reaction kinetics. This paper focuses on an experimental study of filling profiles in single. Cu "÷ +ne - Cu (1) id where Cun÷ is the copper ions being reduced. (p. The two opposite processes. copper ions' position of the closest approach to the electrode surface is the Outer Helmholtz Plane (OHP). (p > 0. the passage of the current at the PR plating conditions through the vias' volume will be affected by way of double-layer charging as described in porous electrode theory [2. is a function of potential. 4]. q'" > 0. occur periodically. Cu° is the copper atoms being deposited. qm < 0.25 gtm via has an electronically conducting seed solid phase and Cu growth on via sidewalls at later times in the electroplating process. The overall effect of double layer on kinetics is that the averaged reaction rate. because of the potential drop through the diffuse layer and possibly because some ions are specifically adsorbed. as known. PULSE REVERSE ELECTROPLATING. E. It is a function of the electrolyte concentration since (p depends on concentration. and cations will be attracted to the electrode surface. DOUBLE-LAYER EFFECTS ON ELECTRODE REACTION RATE In pulse reverse electroplating. and cations will be repelled. n is the ion valency (n=l. When the metal electrode has a negative charge.2). It is observed that variations of seed layer thickness on the via sidewall and via top opening as well as current density conditions of EP processing have large effects on the filling profile in single vias. i. the effective electrode potential. can affect the electrode reaction kinetics [5]. reduction and dissolution.13 gtm ULSI technology. qi. is 0"n . When the electrode has a positive charge. the value of (p is perturbed from just the diffuse double layer consideration. The potential at the OHP. 9 to 0. and left in some unperturbed state during zero-current time. deposition/dissolution kinetics include three states for copper ions: copper ions are periodically attracted to the surface during Cu deposition time. Change in deposition rate along via sidewalls leads to decrease of cleft depth in the via tops and void-free filling of the vias. At the conclusion of the Cu plating. First is to reduce deposition rate at the wafer surface by using a relatively large amount of the leveling and inhibiting agents in electrolyte.18 jim was conducted using a periodic array of high aspect ratio vertical trenches of different widths in the range from 0.of the plane of closest approach for the copper ions and the potential at the pre-electrode state change and diffuse layer increases. measured on the field. dependent on the q'.5 gim. and application of wafer bias to attract them vertically. The dielectric thickness was 2. In pulse reverse electroplating. Deposition time.25) gim wide fully vertically walled structures. Verification of the electroplating performance beyond 0.13-0. Second. Simulations showed formation of a beneficial concentration field. scanning electron microscopy (SEM) and transmission electron microscopy (TEM). TaNbased barrier layer of 30 nm and Cu seed layers of 150 nm and 100 nim.18) ltm or (0. applied current density. IMP Cu seed layer process may reach its step coverage limits for tapered vias with diameters around (0.2-0. with the cupric-ion concentration highest at the trench bottom and. employed here. Figure 1 shows a focused ion beam (FIB) cross section of voidfree Cu plugs obtained by this polar pulse reverse Cu EP. were deposited by ion metal plasma (IMP) technology. Specific surface adsorption of non-Cu ions and organic species may also result in blocking of the electrode surface and decrease or increase the reaction rate. is to use the periodic forward and reverse currents to regulate deposition rate along via sidewalls with an appropriate amount of leveling at the via top. The dependencies of cleft depth on via aspect ratio. WN barrier layer of 25 nm and Cu seed layer of 30 nm were deposited by chemical vapor deposition (CVD) for base layer.13 [tm. This method. repelled from the surface during dissolution time. EXPERIMENT The test chip used has a periodic array of slightly tapered via openings containing 6 via patterns of different diameters in the range from 0. a coil for their ionization.5 to 0. decreased void size at Cu electroplating in high aspect ratio trenches [2].18 gim. the wafers were rinsed in de-ionized (DI) water and dried in a forced N2 flow. as a result. seed layer thickness and wafer center-edge nonuniformity were observed by FIB etching. RESULTS There are two possibilities for achieving enhanced deposition in vias by electroplating. Electrochemical Society Proceedings Volume 99-9 11 . introduces impurities into the Cu lines and is inconsistent with the desire to reduce their resistance. A high conductivity acid-copper sulfate electrolyte containing organic additives was used for the electroplating experiments. IMP seed layer deposition used 10-100 mT Ar sputtering pressures to slow down the magnetron sputtered metal atoms. IMP technology provided seed layer step coverage in high aspect ratio vias because of the directionality of incoming ions and utilization of ion bombardment to backsputter already deposited copper from the bottom of the via to the sidewalls. however. dissolution time and off time influence concentration field in the high aspect ratio trenches. Using the experimental results. depends on the kinetics of decreasing cleft depth and inhibiting deposition at the via top opening. The cleft depth (C) was found dependent on a number of controlled parameters: seed thickness at top via comers. from approximately 0. (a). and thickness of EP Cu.25 pm to 0.)) function of the via aspect ratio. the relationship between the average cleft depth and via aspect ratio with current density controlled reaction rate can be written in the following simplified model: I C H ka k4 b (3) k2(AýI+exp ( k 3 J) ) kT 12 Electrochemical Society Proceedings Volume 99-9 . Effect of seed thickness Cleft depth was decreased when seed layer thickness was decreased from 150 nm to 100 nm. 1/mA/cm 2.9 pgm to 0. electrolyte temperature.flJ))) function of the via aspect ratio and applied current density. via aspect ratio. With PR. SIMULATION AND DISCUSSION The success of via filling when using enhanced deposition at the via bottom and lower sidewalls. This effect can be explained by faster closing of the via top during Cu EP on thick seed layer. f is a constant with units of I/J. where a' is a dimensionless constant. where a is a dimensionless constant. The average tensile stress in 1. (J). The effective via diameters defined as the largest diameters at which enhanced filling at the bottom is observed.). the limitation for filling high aspect ratio vias without voids was about (8-10):1 aspect ratio and related to sidewall voids usually due to asymmetric decrease of the seed layer thickness at the via sidewalls starting at the wafer edge.Effect of aspect ratio An enhanced deposition at the via bottom and lower sidewalls was observed when via diameter was decreasing from 0.45 ptm. The decrease of applied current density also shifted the effective via diameter to larger dimensions. The average cleft depth followed the 1/(1+exp (a'(A. Effect of wafer center-edge Cleft depth decreased from wafer center to the edge. (b). Significant change of deposition rate along the via sidewall started at via diameters about (0. The average cleft depth in the top of vias was decreasing with increasing via aspect ratio from 3:1 to 12:1 and followed the 1/(J+exp(cA. . applied cathodic current density. 18 gpm at constant dielectric thickness of 2.0 prm thick EP Cu blanket films was decreased from 24 MPa to 18 MPa when the process was changed from direct current (DC) to unipolar forward pulse (FP) and further to 14 MPa with polar PR conditions. (A. The effect is related to seed thickness decreasing by about 8% toward the wafer edge. (7).35) gin. High stresses in layers of the as-deposited Cu I thin seed / thin barrier sandwich structures can lead to void formations in EP Cu grain boundaries along the via sidewall when temperature induced stress change and grain growth occur.25-0. Effect of applied current density Cleft depth decreased when applied current density decreased from 20 mA/cm to 10 A/cm2.5 pLm. The PR conditions were also used for the purpose of decreasing or eliminating sidewall voids. Av = hid.Where k is the Boltzmann coefficient. and k4 are dimensionless coefficients.e. the averaged heterogeneous electrochemical reaction has an intrinsically slow rate at the wafer surface.) around (0. The fillable via aspect ratio has a functional dependence on current density. sulfate complexes and copper ions. (A control for the desired process. These specific.0. via sidewalls).35) ptm exists. below which the effect of changing deposition rate along the via sidewall (or effect of enhanced deposition at via bottom and lower sidewalls) just becomes significant. Transient doublelayer charging and adsorption are of interest in the determination of the reaction rates in the internal area of vias as porous electrodes because diffusion parts (or diffuse layers) of the double electrical layer at via sidewalls become very close to each other with decreasing via diameter. these specific interfacial areas are surfaces of double-layer adsorption for chloride ions. An effective via diameter (d. there is a relatively large range of reaction rates along the via sidewall. d . This is the experimental verification that the effect of changing deposition rate along via sidewall is related to concentration gradients and becomes diffusion enhanced. Developments in the theory of flooded porous electrodes with regard to adsorption of ions and double-layer charging are primary in an understanding the pulsed electrodeposition effects along high aspect ratio via sidewalls. The experimental results show that the electrode processes occur nonuniformly through the depth of high aspect ratio via.(PObottom Electrochemical Society Proceedings Volume 99-9 13 . All these reactants also are in the solution in close proximity to the surfaces along the porous electrodes (i. k2 is a constant with units of energy. a . copper-organic complexes.)/2. J.A(qO-= (POtop . where tapered via diameter d=(dvop+dby... leveling organic molecules.C bottom (5) (6) . Thus the effective diameter will be the sum of actual threshold via diameter and thickness of conformal Cu deposition (Ab) on the sidewall: d. and seed thickness at via top corners. via-geometry-related conditions lead to copper ion concentration and potential gradients (for example. = dt + 2Ab (4) These experimental results promote the study of an interface of the electrode material with the solution in narrow deep vias.0256 eV) correlates both to the copper ion diffusion gradient and to the gradient of the zero-potential plane between top and bottom of the via: AC = C top . This suggests separation of electrode processes at the flat surface and in vias. k. the smaller the diameter of the vias can be filled. dielectric thickness h = constant in our case and the via diameter that can be filled is decreasing with decreasing J and a .J a.o. 1/mA/cm 2. Actual threshold via diameter (dt) is smaller. The lower the current density and smaller the thickness of the seed layer at the top via comers. A patterned wafer surface serves as the flat surface electrode having a large number of pores (high aspect ratio vias) providing a specific additional interfacial areas at the sidewalls. copper-chloride complexes.25-0. when via diameter decreases and becomes close to the effective diameter. and concentration gradients become significant after the short time of deposition initiation and conformal deposition on via sidewall. At certain deposition conditions. eV. gradient of the zero-potential plane) along via sidewalls and as a result to a range of averaged reaction rates. but the compactness of porous electrodes can provide potential. As well as flat electrode surface. In the case of pulse reverse electrodeposition. and k3 is a constant with units of I/J. It can be assumed that coefficient k2 (k2 . R.13 p. Bard. Lee. J.C. and The decrease of cleft depth in the via top is also limited by Cu deposition on the top corners and a leveling agent is useful for suppressing the Cu deposition rate at via top comers. wafer center-edge position and EP Cu thickness were determined. Newman and W.25 pgm to 0. Dubin. A. Yung. West.25 g. The IMP seed layer deposition and pulse reverse Cu EP were effective in filling tapered vias of aspect ratio up to (8-10):l without sidewall voids. A. Alkire. allowed high via filling capability that was not limited by aspect ratio of 12:1 for 0.45 ptm. 1997). 14 Electrochemical Society Proceedings Volume 99-9 .op bottom (POboto. J. below which the effect of changing deposition rate along the via sidewall just becomes significant. CONCLUSIONS In summary. Cheung.where AC is a total copper ion gradient between top C t. 136. During pulse reverse Cu EP. 25 (1975). It is assumed that IMP Cu seed layer process will reach its step coverage limits for tapered vias with diameters around (0. Electrochemical Methods. and B. 3.13-0. Romankiw. Kobayashi (MRS Proc. 9..2 p.C. and S. with adequately formulated and dosed surface-active additives.2) pgm. L. an experimental verification that the changing deposition rate along sidewalls in high aspect ratio vias is related to copper ion concentration gradient and becomes diffusion enhanced was demonstrated for pulse reverse Cu EP. Soc.J. 1). Electrochem. Tsubouchi. Baker. Acp0 is a total zero-potential plane gradient on via sidewall between top POt.m nominal via diameter. The relationships between filling profile and via aspect ratio. Faulkner. R. R.. Tiedemann.m width and 8:1 aspect ratio. 145. REFERENCES 1. Electrochem. 21. 1.m wide structures with high aspect ratios and vertical sidewalls. p. edited by R. polarizing or de-polarizing the electrode surface and suppressing the averaged plating rate there. Chen in Conference Proceedings ULSI XIII. J./J)) function of the via aspect ratio and applied current density.. 13 p. M. 206 (1989). Klein. the additives are adsorbed on the via top comers. 2).T. Ting. compared and expressed in mathematical form for via aspect ratios between 2. Murakami. 3070 (1998). The application of periodic polarity reversal in Cu EP. seed layer thickness. p. p. 405.. Thinner Cu is deposited near the via opening where additives mass transport rate is high. 1980.. 1. and N. 4. C. applied current density. and bottom C bottom of the via.5:1 and 12:1. AIChE J. Using CVD seed layer extends the electroplating filling beyond 0. An effective diameter around 0. p. K. from approximately 0. V.M.m exists. Cheung. . Cheng.C. 2. more additive is transported there suppressing the plating rate. Soc. of the via. 3).C.. C. The average cleft depth followed the 1/(1+exp (a'(A. E.R. Focused beam formed SEM cross section on Figure 2 shows that the enhanced Cu deposition at the via bottom and lower sidewalls with suppressed Cu deposition at the via top comers leads to void-free filling of the vertical trenches with dimensions of 0.K. J. Because of the shorter distance for diffusion of additives to the via top comers.H. L. 5. The decrease of applied current density shifted the effective via diameter to more large dimensions. in the presence of chloride ions in the electrolyte. Figure 2.Figure 1. Cross sectional view of Cu filled vias (diameter -0.25 Rtm.13 gm width and 8:1 aspect ratio. aspect ratio -(8-10): 1). Electrochemical Society Proceedings Volume 99-9 15 . Cross sectional view of void-free Cu filling of the vertical trenches with dimensions of 0. Germany). Unless otherwise noted. and 50 mg/L chloride ions (Fisher. Columbia University New York. which is in stark contrast to practice. bis-(3-sulfopropyl)-disulfide. 300 mg/L 3350 molecular weight PEG. A mixed-additive system. 1. Kelly and Alan C. EXPERIMENTAL To study the leveling of different systems. We also outline a theory that has been recently used to simulate the impact of leveling agents on shape change.2). The difficulty in developing a first-principles simulation tool that describes the action of leveling agents is emphasized.5H 20. approximately 1 cm2 of patterned silicon having a copper seed layer served as a substrate for electrodeposition. Additive mixtures that level have been used successfully on larger scales for packaging applications (3).24 M CuSO 4 and 1. and Janus Green B (JGB). To date.8 M H 2 SO 4 . a protocol that uses fundamental experimental measurements for relating theory to multi-component additive packages (other than curve fits of theory to shapechange experiments) has yet to be described. and Janus Green B. as well as subsets of the system. While the theory appears simplistic. Copper was 2 deposited at 10 to 20 mA/cm and room temperature from a 0. no attempt to establish a connection between theory and experiment is made.20 ptm wide with an aspect ratio of 3. is in many ways representative of commercial systems that have been successfully employed. referred to as SPS (Raschig GmbH. The composition of the standard electrolyte was always 0. The feature size investigated was approximately 0. the approach apparently captures some observations from experimental shape-change studies (1). The theory uses a single-component description. In the present paper.EXPERIMENTAL AND NUMERICAL STUDY OF LEVELING OF SUBMICRON FEATURES BY ORGANIC ADDITIVES James J. INTRODUCTION Leveling is important in achieving void-free deposits during copper metallization processes (1. We discuss the effectiveness of this system. conditions for deposition were 10 mA/cm2 with I mg/L of SPS and JGB. consisting of chloride ions. were added to this standard electrolyte. polyethylene glycol (PEG). on a submicron scale.8 M H 2 SO 4 quiescent electrolyte. Also discussed are simulation results of a theoretical model of leveling agents. NY 10027 A leveling study on 200-nm features of a model plating-bath additive package is presented. bis-(3-sulfopropyl)-disulfide (SPS). referred to as JGB (Aldrich). Two additives.24 M CuSO 4 . The complex interactions among the additives are highlighted. of Chemical Engineering. Certified ACS). West Dept. 16 Electrochemical Society Proceedings Volume 99-9 . Areas that were damaged during the cleaving process were avoided. the results reported are the average of at least 4 profile scans from two different films prepared under the same conditions. making process control important for wafer processing.0 mm. and JGB (nominally the "leveling agent") is practically significant because it may imply that brighteners (e. RESULTS AND DISCUSSION Results of the SEM analysis are summarized in figure 1. of a 10-micron-thick film deposited from an electrolyte with PEG and Clonly is about 500 inm. Electrochemical Society Proceedings Volume 99-9 17 . At least 100 trenches were investigated to ascertain the effectiveness of each additive system. The instrument scanned a horizontal distance of 2. The improved results (relative to PEG and Cl alone) for the electrolyte with PEG. however. Films for profilometry were grown to a nominal thickness of 10 pm at a current density of 10 mA/cm 2. These data are consistent with previous leveling experiments on a 100-pm scale in that all four additives appear to provide the best leveling (5). SPS) are essential even though cosmetic appearance may be unimportant for ULSI copper interconnects. Further details can be found in reference 4. depending on the electrolyte composition. Cl-. as determined by profilometry.. and SPS the average roughness is about 240 nm. Cl-. and SPS were unexpected since this system performed more poorly on a 100-pim scale (5). The low percentage of filled features obtained with PEG. For each case. Possibly. At still higher JGB concentrations.g. The impact of the operating conditions is shown in figure 1 for an electrolyte containing all four additives. while for an electrolyte with PEG. conditions such as additive concentration and applied current density must be optimized to achieve feature filling. the average surface roughness of the deposited copper film is more important as the feature size decreases. In addition to designing a proper combination of additives.After metal deposition. On a 100-pim scale. The average surface roughness. The improved filling performance of the electrolyte with 2 mg/L JGB could be explained by the increased inhibition of metal deposition near the trench openings expected with a higher bulk JGB concentration. most features are filled. The nature of the interactions between these additives that make all four necessary for effective leveling is still unclear. the fragment was cleaved for SEM observation. The percentage of filled trenches varied from approximately 10 to 90. one may expect leveling to subside as the concentration gradient of the leveling agent inside the trench diminishes. sampling every 5 ptm with a height resolution of I nm. Profilometer measurements on films produced with different additive mixtures were taken with a Tencor Alpha-step 200 immediately after they were produced to measure the average surface roughness. most features displayed voids. The results shown in Figure I suggest that the trench-filling performance of an electrolyte is strongly dependent on the relative concentrations of different additives. perhaps contributing to this electrolyte's good leveling effectiveness. The average surface roughness of a film produced from a bath with all four additives is 80 nm. at a deposition rate of 15 mA cm .. and the 100 trenches examined were taken from multiple samples. at 20 mA cm. Cl-. For example. this difference may be unimportant. (y) rc2 . we assume that the rate of consumption of the leveling agent is given by r. for example. we assume that the aspect ratio is sufficiently large that concentration variations in the x-direction are small compared to those in the y-direction. with products that subsequently desorb into the electrolyte. [6] Important dimensionless groups related to the leveling agent that emerge from the 18 Electrochemical Society Proceedings Volume 99-9 ...THEORY To describe the spatial variations in current density. A material balance on copper ions that accounts for the consumption of copper due to deposition on the sidewalls of a trench is: 0=D) D. to incorporation of the leveling agent into the deposit or to reduction. The surface-coverage 0 of the leveling agent is assumed to follow a Langmuir relationship: 0= C2 K+c [2] 2 Furthermore. 8(y)a--+ 28(y)F [4] where 6(y) is the half-width of the trench or the via and is given by a material balance on deposited metal: at = 2pF [5] A material balance analogous to equation 5 can be derived for the leveling agent: 0 60= a_ý6(y) _ (y----ay y D..o_ kc0 = [31 The consumption can be due.. with inhibition due to the blocking of surface sites by the leveling agent: -i'c.(1l.0... we assume first-order kinetics in the cupric-ion-concentration c. The constant kc is most likely a function of electrode potential and would thus vary with i.. As in a past paper (6). One possible interpretation of the prediction that as characteristic size decreases deposition becomes conformal is that smaller features are easier to fill. a nonuniform seed layer. Conformal deposition is not acceptable due to a non-zero standard deviation in the plating rate from that predicted by the deterministic model. D2 is the leveling agent diffusion coefficient. is the initial feature height. 3. This randomness may be related to a measured surface roughness of a blanket deposit. the concentration of leveling agent quickly falls to zero at a short distance from the trench mouth. The difference in plating rate from the top to bottom must overcome the standard deviation. This conclusion is not consistent with industrial experience. When RL. deviations from a conformal deposit are due to spatial nonuniformities in the leveling-agent concentration. and when RLA 0. and k. Bearing in mind that a wafer contains many features. indicating that conformal deposition should be achieved in the absence of leveling agents or imperfections in the seed layer. When RLAis too large or too small. is a consumption-rate constant of the leveling agent. which likely depends on additive chemistry.IC 2 [8] Electrochemical Society Proceedings Volume 99-9 19 . When the cupric-ion concentration in the trench is uniform. the dimensionless concentration of leveling agent is given by: d 2 2 -2(R. among other things. the leveling agent concentration is -+ constant and equal to the bulk value. Such variations may result from. 6 and 7. We propose that the initial current distribution can be used to predict process robustness. Combining equations 2. The group in equation 7 can be viewed as providing an estimate of the penetration of the leveling agent into the feature. A value of RLA slightly less than unity appears to provide the most ideal leveling situation to achieve void-free metallization (7). R =-[7] where h. a predicted conformal deposition rate may not be acceptable due to a random spatial variationin deposition rate. The variation in c2 before significant shape change can be used as an estimate of when leveling can be expected.analysis are ratio of bulk leveling-agent concentration to the adsorption constant L-' and K k~h. We assume that a robust process requires a higher deposition rate at the bottom of the trench. Below we discuss a possible method that accounts for such imperfections. the substrate. Its magnitude indicates that the cupric-ion concentration inside a feature is relatively uniform. -+ oo. h /L) . and the film thickness. A similar group to RLA emerges for the cupric ions. void formation is predicted. The value of p necessary for a process to be robust may be a function of feature size. RL. = 0. p must be greater than 33 and when L = 100 nm. Modifying the chemistry to increase k. = 0. SinceR" is . This assumption should be expected to break down. which could complicate process control. Thus. Here. the leveling agent dictates the current distribution. an experimentally determined boundary between a robust and non-robust process may not be linearly proportional to size. Possibly. if coverage 0 -. To illustrate how figure 3 may be used. we work through an example. These considerations are the basis for the boundary between a robust and a non-robust process. 20 Electrochemical Society Proceedings Volume 99-9 . At some intermediate generation in feature size. one may need to modify the leveling agent to increase kc or decrease D2 . We use a variable relating the current at the top and bottom of a trench: p =-100 tt [9] When feature widths are less than 250 nrm. RLA > 5 ). where a is the average surface roughness of a blanket deposit of thickness L/2 and is taken in this example to be 40 nm.15). A decrease in D 2 could be achieved by choosing a species with a higher molecular weight but with the same active functional group.0625 (cf.g. However. one would conclude that it is not possible to maintain the same chemistry as feature size is reduced from 240 nm to 100 nm. To achieve preferential deposition at the bottom of the feature. it is assumed that y is independent of film thickness. p must be greater 80. the trench is likely to close near this intermediate position. equation 7). especially when L/2 < c..09..0) at the bottom of the trench. assuming c.0 at a position near the top of the + trench (e. Due to other constraints. proportional to L (holding aspect ratio constant). Figure 3 shows p as a function of R.15 when L = 240 nm. Thus. spatial variations of the cupric-ion concentration inside features are negligible. We assume for the leveling agent: c2 /K=l0 and R. For the hypothetical situation considered here. for three values of c.. it is assumed that robustness requires p > 2 . = 0. / K. These two points are labeled on the graph. when L = 100 rnm. one must maintain more free sites (larger I . / K = 9.Figure 2 shows the spatial variation in the surface sites available for copper reduction for various values of RA. this could be achieved by increasing the bulk concentration of leveling agent. may also imply an increased replenishment rate of the additive. When L = 240 nm (R. In the present example. chemistry with an effectively larger RLA would be required. if necessary. Results also indicate that as feature size is reduced. primarily one dimensionless group (equation 7) dictates the leveling capability of a process. would not introduce any major numerical difficulties. and K) required of a mathematical model that describes leveling agent. greater than 90% of observed features could be filled. An approach that instead attempts to measure independently the physico-chemical properties may provide insights that will lead to improved process control and/or improved additive packages. the theory outlined above is based on a single-additive description of leveling. Such lines of inquiry will likely involve electroanalytical methods. It is proposed that conformal deposit is not desirable because random variations in deposition rate will lead to void formation in a statistically significant number of features on a wafer. SUMMARY A leveling study of submicron features is consistent with previous experiments on a 100-pm scale in that an electrolyte having all four additives yields the best results. The ability of a single-additive theory to describe such a complex chemistry has yet to be fully established. such as electrochemical impedance spectroscopy or cyclic-voltammetric analysis. but would require a major experimental program to obtain a sufficient mechanistic understanding.. Also.) bulk concentration of cupric ions or leveling agent. k. Depending on both current density and JGB concentration. substantially fewer features were filled. a protocol that fits the model directly to shape-change experimental studies can be used.g. The use of a multicomponent additive theory. where JGB is not effective unless SPS is present. D 2. For very small features. the deposition tends to become conformal unless the additive chemistry is modified. and the leveling agent does not work in the absence of the other species. This effect is clearly seen in figure 1.DISCUSSION A major challenge that lies ahead is the establishment of an experimental protocol that can obtain the physico-chemical properties (e. LIST OF SYMBOLS c 3 concentration. When only two or three of the additives were used. and may include in situ electrode-surface analyses to corroborate mechanistic hypotheses. mol cm" dimensionless concentration of leveling agent (c2 / c2. mol cm-3 2 diffusion coefficient. Conceivably. The disadvantage to the latter approach is that it will provide few fundamental insights into the governing phenomena. Simulations of copper electrodeposition in sub-micron features in the presence of a leveling agent indicate that the formation of void-free deposits requires tight control of the operating conditions. cm s-I E-2 cý D Electrochemical Society Proceedings Volume 99-9 21 .. Commercial baths typically use at least four components. K L p rcons RLA t x. C. 5. Cruetz. C. C.487 C mol[' eq-1 current density. J. "Leveling and Microstructural Effects of Additives for Copper Electrodeposition". and A. 0.. L. Electrochem. J. sec spatial dimensions. J. 4. Deligianni. A. Carpenter. and E. B. mol cm. IBM J. G. 567 (1998). M. 3070 (1998). Kelly and A. S. defined by equation 6 time.. Horkans. Res. Patent3. Dukovic. 3. J.273. Electrochem. J. Ritzdorf. Kelly. J. mAcm 2 initial height of feature. Andricacos. 7. cm 2 consumption-rate constant. submitted. "Leveling of 200-nm Features by Organic Additives. P. submitted (1999).. T. T. J.. Tian. Develop. C. R. Lindberg. Soc. 42. Taylor. Solid-State Let. 1998." Electrochem. West. Stevenson. cm difference in plating rate between top and bottom of feature consumption rate of leveling agent dimensionless groups. A. and B. C. J. and M. Cheng. Soc. "Theory of Filling of High-Aspect Ratio Trenches and Vias in Presence of Additives. H.-C. cm Subscripts I 2 cupric ion leveling agent REFERENCES 1.328. 22 Electrochemical Society Proceedings Volume 99-9 . 96. C. 145. cm leveling-agent surface coverage molar density of copper metal. C. 6. A. West.F i ip ho. mol cm-3 standard deviation in film thickness. Soc. 1998). cm dimensionless spatial variable (y/ho) half-width of trench or via opening. U. Electrochem. 2. mA cm 2 current density at the mouth of the feature. Solid State Tech.. West. Romanowski. mol cminitial width of trench or via.s-I 3 adsorption-isotherm constant. C. 47 (November. submitted (1999). y j 8 0 p a Faraday's constant. LeFebvre." J. k. Uzoh. F.. C. Baker. West. SPS. CF. SPS. and JGB.= 600 nm) as a function of electrolyte composition. chloride ions. The percentage of filled trenches (with L = 200 nm. C1. & JGB Figure 1. & CI & SPS & JGB PEG. Electrochemical Society Proceedings Volume 99-9 23 . For the bath containing PEG.100 Z 80 standard conditions 2 mg/L JGB 2 15 mA/cm 20 mA/cm 2 2 60 40 20 0 PEG PEG. h. various operating conditions are shown. PEG. CF. 6 - LA= 0.0 0./K 20 10 and top of a trench in the limit of small features.4 0.20 0. c 2 /K 0. _ 0.2 9.1 R LA 5 = L various RLA.8 The spatial Figure 2.25 24 Electrochemical Society Proceedings VoIlume 99-9 .4 dimensionless parameter The curves shown for RLA = 0.0 0.0 Figure 3 The percent difference in initial plating rate between the bottom ho/L 150 4 c 2 .01 RLA = 0.8 1.001 0. 02" 100 Q.0 0. .05 1 .10 Rol 0.1 and I are most desirable to avoid void formation.5 50 Robust Not Robust 0 0.6 0.2 0. -. values of the ho/L = 4 0.00 0.09 RLA = 0.15 0. variation of leveling-agent surface coverage for 0.1. Also shown is the boundary assum ed between a robust and nonrobust process. and low-cost. that help control the deposit distribution and aid in imparting the desired deposit properties. minimal environmental impact. Cleveland. The acid removal produces also a significant 'chemical enhancement' of the copper transport rates. typically in the ppm range. CA 95054 A new copper-plating electrolyte specifically optimized for electroplating interconnects on silicon wafers is described.. enabling a high copper concentration process. thereby mitigating the harmful effects of a thin seed layer on the deposit distribution. and (ii) it is based on a high (>0. The low-acidity electrolyte also offers significant environmental. steel coating. copper electrodeposition is a major plating processes with important applications in electronics (printed circuits. Eliminating the acid increases the electrolyte resistivity. and in electroforming. The latter is by far the most popular due to its stability. Case Western Reserve University. Inc. dating back to the early 1800's. A major appeal of the acid copper process has been its versatility: essentially one chemistry. typically in the range of 0. OH 44120 Yezdi Dordi. with main function of enhancing the electrolyte conductivity.A NOVEL ELECTROLYTE COMPOSITION FOR COPPER PLATING IN WAFER METALLIZATION Uziel Landau Chem. Since the Wagner Electrochemical Society Proceedings Volume 99-9 25 . Inc. This feature is characterized in terms of a high 'throwing power' or a high Wagner (Wa) number' 2 .0. with minor variations. Shaker Heights. safety and handling benefits. This provides high quality copper deposition at high rates under moderate flow. Atif Malik. (ii) sufuric acid. OH 44106 John D'Urso and Andrew Lipin L-Chem. and acidified copper sulfate.. Santa Clara. connectors). and (iii) various plating additives. complex shaped parts in multiple cell configurations. Eng. may be used in a wide range of applications. copper pyrophosphate. Acid copper plating solutions consist of three main components: (i) copper sulfate. typically 1-2 M. which serves as the copper source. Today. Furthermore. Copper electroplating from acidified copper sulfate is a classical technology. Three types of copper plating chemistries are commercially available: copper cyanide.8 M) copper concentration. Critical to this universal appeal is the ability of the acid copper process to uniforniy plate different. The copper sulfate based electrolyte differs from conventional copper plating solutions in two main respects: (i) it contains no (or low) sulfuric acid.6 M. reducing the sulfuric acid concentration enhances the copper solubility.2 . Dept. versatility. Michelle Chen and Peter Hey Applied Materials. Recently. quite resistive copper seed layer Current is fed from the wafer circumference (radial distance of 10 or 15 cm) Extreme deposit thickness uniformity requirements (<1-3%) with minimal (0-5 mm) edge exclusion Complete fill capabilities of sub-micron scale structures (dual damascene) with >1:10 aspect ratios. Extreme properties requirements for electromigration. etc. and consequently. Long-term process stability and robustness Complete process monitoring and control Essentially defect-free performance (over extreme number of parts and features) Requirements and characteristics of the wafer plating process are significantly different from conventional plating. often with marginal seed layer. Table 1: Comparison between conventional and wafer plating Conventional Plating Process versatility (for different parts and Wafer Plating Dedicated and customized process and system cell configurations) is important High 'throwing power' (Wagner number) is essential for uniform deposit distribution Customized cell design can provide uniform distribution (even in absence of high throwing power) Supporting electrolyte (typically acid) provides Low conductivity desirable to mitigate the high conductivity (and high 'throwing power') effects of the resistive seed Mass transport . Plating in vias is influenced by transport 'bottom-up' fill desired through-holes) is usually sought 'Low tech' is acceptable standard Moderately priced product Extreme 'high-tech' requirements Very costly product 26 Electrochemical Society Proceedings Volume 99-9 . Table 1 highlights major differences. a high throwing power. A very uniform copper layer must be electrodeposited with excellent gap-fill properties onto a resistive seed layer through contacts along the circumference of the wafer.typically not an issue Uniform side-wall coverage of cavities (e. purity. Here.number is proportional to the conductivity. stress.g. reflectivity. grainsize. conductivity. a specially designed and dedicated tool is used to plate well-defined disk-shaped silicon wafers. The new process poses numerous critical challenges: Copper is electroplated onto a thin (100-1 000A). conventional sulfate based copper plating formulations specify the use of sulfuric acid as a 'supporting electrolyte' with the main purpose of providing high conductivity. copper plating has found an important new application in metallizing interconnects on semiconductor wafers 3. Furthermore. since uniform deposition leads to the formation a center seam. A large Wagner number is indicative of a uniform macroscopic current distribution since it corresponds to a large activation resistance (which tends to level off the current) and a small ohmic resistance (which is geometry-dependent and usually causes non-uniformities). SCALING ANALYSIS OF CURRENT DISTRIBUTION IN WAFER ELECTROPLATING Deposit thickness distribution in wafer electroplating must be considered in terms of two separate scales. and process control. on the length scale of the features (microns). I is the characteristic length and arl/ai is the slope of the polarization line. and a high slope of the polarization curve. the design objectives for the two scales are quite different. (Rn): Wa = R' R K : I. a high Wagner number is desired. While it is important to obtain uniform deposit thickness on the wafer scale. defined by the ratio of the activation resistance of the surface reaction.ai [1 [P] Here. the Wa number can be expressed in terms of: Wa = -ýR =-Kb (for Tafel polarization) [2] b is the Tafel slope (= RT/aF) of the polarization curve. and i is the current density. For the Tafel polarization regime (in which most copper plating is carried out). special design opportunities that call for departure from classical acid copper process parameters. a bottom-up fill is desired on the features scale. these distributions are controlled by different mechanisms. it offers. For uniform distribution. purity. low current density. (Ra). Electrochemical Society Proceedings Volume 99-9 27 . The parameters that control the macroscopic current distribution (in the absence of substrate resistance) can be represented in terms of the Wagner number. Because of the large variation (4-5 orders of magnitude) between the scales. on the wafer scale (cm) and (2) microscopic distribution. because of its unique characteristics. corresponding to high electrolyte conductivity. On the other hand. ic is the conductivity. to the electrolyte ohmic resistance. (1) Macroscopic distribution.As noted. copper electroplating of wafer interconnects poses significant challenges primarily due to the extreme requirements it mandates for uniformity. The Macroscopic (Wafer-Scale) Current Distribution. As a consequence. when the length scale. I nFi l-/L Clearly. T > 1. and.VC.5 mm. may compensate for current density non-uniformities even the absence of a high Wa number. 5 orders of magnitude smaller than that of the macroscopic scale.. iL is approached. lent. the current distribution within R* K RT 28 Electrochemical Society Proceedings Volume 99-9 . Since the additives are present in minute amounts.e. the uniformity of the current distribution on the macroscopic scale is primarily controlled by: cell configuration electrolyte conductivity electrode kinetics (affected by the additives distribution and hence may be influenced by flow) average current density substrate (or seed layer) electrical resistivity Appropriate cell design.= nF[4] R R*. The length scale. is of the order of a micron. As noted. shrinks. 1.nFDVC cV(D [3] The relative importance of the two terms can be determined from the dimensionless mass transport to ohmic resistance ratio.Accordingly. Clearly. their distribution across the wafer is typically influenced by the flow. i = . corresponds to mass transport dominance. the characteristic distance. Relevant conclusions are summarized here. at which mass transport limitations become more significant than the ohmic resistance is given by: KoRT [5] (for mass transport control) lcu nFiT Applying typical conditions. we find that the critical length below which mass transfer becomes dominant is between 0. leveling additives are often incorporated in the bath. as discussed earlier by Landau 4 . including the application of current shields where required. The current is driven by the concentration gradient.01 to 2. dubbed here the Tobias Number. Vsl. T: T= *-. the controlling mechanism for the current transport shifts from potential to mass transport control. To increase the electrode polarization. The Microscopic (Feature-Scale) Current Distribution In analyzing the current distribution on the feature scale. more interestingly. mass transport gains importance when the limiting current. i. 1. The macroscopic current distribution may also be affected by the seed resistance due to the so called 'terminal effect' as discussed below. and the electric field. kinetics resistance dominance with a uniform current distribution on the micro-scale. on the features scale. L may therefore be viewed as a micro-leveling parameter. It should be emphasized that the forgoing analysis compares only the relative importance of mass transport to electric migration. it promotes non-uniform distribution.e. The activation (kinetics) resistance. Since the limiting current depends on the concentration and on the agitation rate. therefore. is geometry independent and tends to level the distribution. (W?1/0i Hit -ii [6] R* . low current density and a high limiting current._ =. Instead. the leveling parameter. a. Electrochemical Society Proceedings Volume 99-9 29 . A large value for L (L > > 1) implies. on the other hand.(tqr /Ii) C ai Since mass transfer (diffusion) resistance is typically geometry-dependent (just like the ohmic resistance). has been formulated 4 by replacing the ohmic resistance by mass transfer resistance (as the source for non-uniform flux) and comparing it to the kinetic resistance: * Ra L . whereas on the micro-scale the concentration field is more important. Accordingly. Kinetics resistance. it is beneficial to operate at a low fraction of the limiting current. Eq. L. will typically be the overall dominant resistive mechanism on small scales. prevailing over both the mass transport and the ohmic resistances. which is not scale-dependent.local (micro-scale) geometry local current density It is no longer meaningful to characterize the microscopic current distribution in terms of the Wa number since the latter incorporates the ohmic resistance as the source for non-uniformity. the deposition process will be primarily controlled by: . one must select processes with low transfer coefficient. in analogy with the Wagner number on the macroscopic scale. high reactant concentration and sufficient transport will promote smooth deposition. i/iL i.mass transport (of both reactant and additives) . In order to promote smooth deposits and avoid roughness in plating.electrode kinetics (affected by the additives distribution) . [36] indicate also that for obtaining smooth deposits.micron-scale features is influenced by mass transport with negligible electric field influence. This is often controlled by the use of appropriate additives that promote polarization. High transport rate can be provided by high concentration and sufficient flow. Flow field for uniform additives and copper transport should be incorporated. However.34 CQ/cm) Si IS .Controlling the Current Distribution on the Macroscopic and Microscopic Scales Since the current distribution on the macroscopic and microscopic scales is dominated by different mechanisms.5S skin xJOM/Anode a 5 16 15 2025 35 40 4SS CUOeslgn 0 sinulation Fig. 'Bottom up' fill can be achieved through proper selection of additive and control of their distribution: external surfaces and the via side walls should be passivated while the via bottom should remain additive-free. the initial build-up remains. The current is fed from the circumference (10 to 15 cm radial distances) through relatively narrow contacts. As a consequence.e Curent density profile 590A Cu seed 5-Water 4----Contact . the resistive substrate effect becomes less pronounced due to build-up of a conductive deposit. or preferentially adsorb catalytic additives that promote high deposition rate. cell shape. (0.. as the deposition proceeds. Resistive substrate effects may be mitigated by using low conductivity electrolyte. and a numerical simulation 5 of the initial current distribution (left). On the Micro (features) scale: .0. On the wafer (macro-) scale: Uniformity can be provided through hardware design. 1: Schematic of a wafer plating cell depicting the current feed contact ring (right).50 mA/cm 2 33 344 mA/cm 2 . different means must be applied to control it. Obviously. the current tends to concentrate near the circumference as shown in Fig. 1. indicating about a 10:1 initial current density ratio between edge (344 mA/cm 2) to center (33 mA/cm 2) under the simulated conditions (acidified copper sulfate electrolyte). etc. 30 Electrochemical Society Proceedings Volume 99-9 . RESISTIVE SUBSTRATE ('TERMINAL') EFFECT The seed layer for the copper deposition is thin (typically 500-1000 A) and quite resistive. shields. 2B) through the center of the cell (Ictr) and close to its circumference (ledge). 1+ seed lcenfr lseedRseed IedgeRelectcolyte l [7] Rsed Reletro/yte [8] Obviously. The non-uniform distribution stems from the current minimizing its flow through the resistive seed layer. V. 2 presents a simplistic analysis based on an equivalent circuit model. the current near the edge will always be larger than that at the center due to the terminal effect. Evidently. creating a 'short-cut' through the electrolyte and concentrating near the contact. is identical for both routes: V = IcenterReletrolyte+ l'de= Icenle. R ine 'edge LiQ (A) (B) ®D Fig 2: Schematic equivalent resistive network representation of the resistive substrate effect in wafer plating (A).g. Electrochemical Society Proceedings Volume 99-9 31 . cell configuration and additives distribution).d. In order to minimize this variation. Fig. A voltage balance can be made for parallel current paths (Fig. the seed resistivity. illustrating (qualitatively) the effect of various parameters. R5.The effects of resistive substrates on the current distribution ('terminal effect') has been analyzed in the literature6 '7 . and a reduced 'minimal' circuit (B). must be minimized (requiring a thicker seed) and the electrolyte resistance should be maximized. disregarding here all other sources for non-uniformity ( e. if the electrolyte resistance is high (in comparison to the seed resistance) this effect will be minimized. This latter approach has been adapted here. Analytical and numerical solutions have been presented for a number of configurations. Since the applied voltage. This is illustrated in Table 2: Table 2: Estimated conductivity of acidified and non-acidified copper sulfate electrolyte.25 M CuSO4 t1. for the same acid concentration. the conductivity of a typical copper sulfate plating electrolyte formulated without sulfuric acid drops by about a factor of 10.8 M H2 SO 4 . 0. Accordingly.55 S/cm. Since the proton mobility (introduced via the sulfuric acid) is about 7 times higher than that of copper or sulfate ions. the use of a low conductivity electrolyte is particularly beneficial 3. Conductivity is estimated from: /C= ZAIziC. The measured conductivity of the 0. the trends illustrated are valid.8 ____ . the conductivity drops by about a factor of 10. Dilute solution theory with no interactions is assumed.09 0. the lower copper sulfate 32 Electrochernical Society Proceedings Volume 99-9 . the copper sulfate concentration affects the conductivity only slightly and the major contribution comes from the acid./mole] 2 2 1 1 Cj M] j [S/cm] [ H+ HS0 4 Total with acid Total without acid [millimole/cm3 0. the most effective means of reducing the conductivity is through lowering.7870. Species Cut+ S04 Xj [cm 2 /2 eq.25 1.05 S/cm (no acid). Acidified solution contains 1. As noted. while the non acidified electrolyte measures 0. 3.63 0. As noted from Table 2.25 0.027 0. of the acid.] 54 80 350 50 _ Zj [eq. Nonetheless. or complete elimination. which tends to promote thicker deposit near the contacts. from about 0.. The reason for the discrepancy is interaction (incomplete dissociation) of the ionic species that are assumed here to be completely dissociated.05 S/cm.8M t12SO 4 electrolyte is 0.067- The estimated conductivities are slightly higher than the actually measured values. and in particular (80%) from the proton due to its high mobility. Analysis and experimental data indicate that by removing the acid. the major contribution (91%) to the conductivity is derived from the acid.25 M.8 1. Interestingly.04 0.Rationale for a Low-Acidity Electrolyte In order to minimize the resistive substrate ('terminal') effect.. Copper sulfate concentration is 0. Conductivity data of various copper sulfate electrolytes acidified to different degrees with sulfuric acid is presented in Fig..5 S/cm (in typical copper sulfate with -1-2 M sulfuric acid) to 0. 3 0 S• -A •l • -- . decreasing their relative concentration reduces the overall conductivity. hence all the non-uniformity in the deposit thickness is due to the resistive substrate effect.0. but tracks the reported trend.concentrations correspond to a higher conductivity..75 M Cua- * "7. the 'no-acid' electrolyte significantly improves the copper thickness uniformity which in turn leads to better process integration with subsequent CMP steps. 0. 1.4 . In order to decouple the effects of the process parameters.5 2 2.5 . 0" 0 0. This counter intuitive observation is due to the common ion effect.5 1 1.5 3 S&dfwlc Acdd Corr. Our data is consistently lower (by about 10%). Electrochemical Society Proceedings Volume 99-9 33 . Cell-Design employs a finite element based technique coupled with moving boundaries and a time stepping procedure to simulate the growth.OM Cu÷+ .. 0. most of the thickness variation occurs at the beginning of the deposition process when the substrate resistance is highest. Clearly. Since the protons have a much higher mobility than all other ions. The sulfate ion that is introduced by increasing the copper sulfate concentration shifts the (H÷](HSO 4 -] equilibrium in the direction of decreased free protons. A commercially available software package (Cell-Designo)5 was used to simulate the deposit growth. . Conductivity data for acidified copper sulfate electrolytes was analyzed by Hsueh and Newman . 0. we consider a perfect cylindrical cell configuration. 4). (M4 Fig. 3: Conductivity of acidified copper sulfate Computer Simulations Illustrating the Effects of Process Parameters on the NonUniform Deposit Distribution Due to Resistive Substrate The effect of lowering the bath conductivity on the deposit thickness distribution across the wafer is demonstrated through computer simulations (Fig. As noted. .6 0.. (Right): 0.08 . except that here i-=20 mA/cm2 .6% variation).55 Q'vnii(1.1.8 M H 2SO 4 .) P1•LATED)ro.24 M CuSO 4 + 1. CLA 1.8 M1 11. (9.U 0 .~ Fina II~r~fih' CoperFinal 1.z 1. and a shorter deposition time was applied (simulations were stopped when center thickness reached I pt). 4.3. with the wafer center on the left and the electrical contact on the right. (34% variation). Copper kinetics (no additives) are assumed: i0 = I mA/cm 2 . The darker region is proportional to the deposit thickness (for clarity. K 01. All parameters are identical to those of Fig. are simulated. I. Five growth steps.£Electric Contact SEFIFI) AFERSFIF)FI)WAVV [Electric Contact PLATED) 10.1. Deposit thickness range: 1. 5: Deposit thickness profile affected by the substrate resistance.35 mA/cm 2 . An axi-symetric cross-section through a 200 mm wafer is shown. 4: Computer simulation (Cell-Design©) of copper deposition on a resistive wafer. Initial seed = thickness is I000A. as function of the electrolyte conductivity. the vertical axis has been magnified). 20 sec.2 I . each. Substrate resistivity is updated with deposit build-up.41 ýt. 'is RADIAL POBItlON EUM] Fig. T = 25°C.8 M Sulfuric acid Fig. (Left): 0.. 34 Electrochemical Society Proceedings Vohl~me 99-9 .85 M CuSO 4 . Deposit thickness range: 1. Simulated by Cell-Designic.52 ýt.5. Current density .8 NAcid) 21. (xc = 0.S()1 1I411'Nuis C•oppler Profile nAi Avid Cell-Design @ simulations No acid 1.5.28 . OOE.0 0OOOE-00 2. 5 displays similar data to that shown in Fig.3 12 4.55 -1.42 C1. only the final deposit profiles are shown. at a greater resolution.5 .20E. Whereas large thickness variations are noted for the simulated deposit profiles with the highly conductive electrolyte.01 09 RADIAL POSITION [CM] Cell-Design Q simulations Fig.O0 6.00E+01 1.OOE-00 8. As noted. Also. 6 compares the effects of both the initial seed layer thickness and the electrolyte conductivity.00 k00E. 4 (with lower current density and deposition time).Fig. 200 mmn wafer. Electrochemical Society Proceedings Volume 99-9 35 . 6: Effect of initial seed layer thickness and the electrolyte conductivity on the deposit thickness distribution. Fig. however.00 1. relatively little difference is noted between the 500 A and the 1000 A seed. Thickness Ratio SEED K .55 1. Final deposit profile is shown.OOE. i = 20 mAlcm2. the low conductivity electrolyte mitigates quite effectively the seed layer effects. the variations for the low conductivity electrolyte are relatively small.57 h14" 1000 0. 1500 A 10 cm 0. LI 500 0. . Conductivity = 0. 4 Current Density -.7 1.8 M Sulfuric Acid).6 . 1. 10 mA/cm 2 0 2 4 6 RADIAL POSITION (cm] 8 10 12 Cell-Design C simulations Fig... Since the sulfuric acid carries most of the current within the bulk electrolyte.5..55 0 -'cmf' (1. 7: Effect of the (average) current density on the deposit thickness distribution subject to the resistive substrate effect.Fig 7 shows the effect of the current density on the deposit distribution under the influence of a resistive substrate. 36 Electrochemical Society Proceedings Volume 99-9 . As the current increases. 10 mA/cm 2).. This 'chemically induced' transport enhancement is particularly important for providing adequate copper transport within the blind vias.. 1000 A copper seed.3 1. 200 mm wafer. As expected the distribution is significantly more uniform at low current densities (e. the non uniformity appears to converge and not much difference is noted between the simulations applying 40 and 60 mA/cm2 . ---• ----60 mA/cm 2 40 mA/cm 2 20 mA/cm 2 I15~ E14 1. below).1 ' . thus effectively doubling the copper transport rate (Eq.2 1.g.. 9.. Time-step growth simulations Additional Benefits of the Low-Acid Electrolyte Eliminating or minimizing the acid has a second important beneficial effect. its removal shifts the transport number of the copper ion from about zero to 0.. the current distribution on the Electrochemical Society Proceedings Volume 99-9 37 . CB is the bulk reactant (copper) concentration. the transport number of copper increases from close to zero to about 0. and non-corrosive chemistry Lower erosion of the seed layer upon prolonged solution contact. j KC.6.4) = 1. MASS TRANSPORT ENHANCEMENT A second critical requirement in interconnect metallization is the ability to fill small. and 8 c is the equivalent..4. tc.03)/(1-0. boundary layer thickness. by eliminating the acid (particularly. more obvious.03 - KCU 0. In conclusion.. [9] Here.The maximal copper transport rate is given by its limiting (diffusion) current: nFDC.027 0. nanometer-scale. It should be noted that these estimates are based on ideal dilute electrolyte theory. / KC [10] Y"~j ZjCj j Introducing figures from Table 2. is defined by: ACU. a somewhat lower (but still very significant) enhancement is observed. benefits of the 'no-acid' electrolyte include: (iii) (iv) (v) The ability to significantly raise the copper concentration without precipitation 'Greener'. Nernsttype. The transport number for the copper.067 Accordingly. ZCjCcU 1 Kc. the high mobility proton).027 K 1 0.787 tCNoid 0.027 =0.4 0. Y. 9) by a factor of about (1-0. the benefits of the low-acid electrolyte are: (i) (ii) Mitigating the effects of the resistive substrate Providing a 'chemical enhancement' to the copper transport rates Additional. due to interaction between the ionic species. features (i. non-toxic. we find: t cuacid = KCu - 0. This corresponds to an increase of the limiting current (Eq. Unlike the current distribution on the macroscopic (wafer) scale which is typically controlled by the electric field (and therefore strongly affected by the conductivity). In reality. cavities) rapidly and reliably.e. the external flow can be reduced.8 . that is used here.1 . by eliminating the sulfuric acid. since transport to large features can be enhanced by flow.8 M. Copper concentration in conventional plating electrolytes is typically in the range of 0. an enhanced plating rate (by a factor proportional to the copper concentration ratio) can be sustained under the same external flow. By raising the copper concentration in the bath from its typical range of 0.micro. over 0. Clearly it is desirable to enhance the copper transport rates. One way of supporting a larger copper solubility is switching to an acid that does not contain (or release) sulfate or bi-sulfate ions. Hsueh and Newman compiled copper solubility data8 showing that in 2M sulfuric acid.75 M. In 4 M sulfuric acid.8 M) copper concentration. one would want to increase the limiting current (Eq. Additional enhancement of copper transport can be realized by increasing its bulk concentration (CB). for plating micron-scale vias.(or via-) scale is dominated by kinetics and mass transport4 . Since the plating additives are present in the electrolyte in minute quantities (PPM range). if the current density approaches about 80% of the diffusion limiting current.1. It is difficult to maintain a higher copper concentration in a highly acidic electrolyte due to the common ion effect: the presence of sulfate ions originating from the sulfuric acid limits the degree of copper dissociation and its solubility. CONCLUSIONS A copper-plating electrolyte. Another method. and to a corresponding increase [proportional to the inverse of (I -t)] in the limiting current.5M to e. The copper sulfate based electrolyte features no (or low) sulfuric acid and a high (>0. their transport to the electrode surface is always transport limited. the copper solubility drops to about 0. a maximal copper solubility of close to 1. thereby minimizing the 38 Electrochemical Society Proceedings Volume 99-9 . removal of the acid leads to a significant increase (approaching 0. and a plating solution with a copper concentration in the range of 0. 9) by other means. maintaining the plating rate.0.. Because flow is absent within the blind vias.5) in the transport number.5 M. Copper depletion at the bottom of the vias due to transport limitations will adversely affect the deposit properties. the maximal copper solubility is about 0.2 M can be maintained.g.1 0. specifically optimized for copper metallization of interconnects on silicon wafers is described. However. Elimination (or reduction) of the acid increases the electrolyte resistivity. Usually. is removing or reducing the sulfuric acid concentration. additional enhancement is desirable. the copper is transported there solely by diffusion. for sustaining a higher copper solubility. this is sufficient. t.5 M. Accordingly. Since higher flow provides only partial transport enhancement (external to the vias). or.4 M can be reached. As stated earlier. the copper deposit becomes deficient (poor texture) 4 . particularly within the vias. Typically. ohm 2 specific resistance. S/cm 2 equivalent ionic conductivity. 96487 C/equiv current density. Eliminating the acid produces also a significant 'chemical enhancement' of the copper transport rates. 8. safety and handling benefits.3143 J/mole-deg resistance. LIST OF SYMBOLS b C D F i i0 iL I I L n R R R t T T Wa 0(a. Lastly. A/cm 2 exchange current density. enabling a process with higher copper concentration that can not be attained in the presence of sulfuric acid. RT/rtF. particularly within the vias. 71 K X Subscripts a activation (kinetics) avg average B bulk c mass transport crit critical 0 ohmic Electrochemical Society Proceedings Volume 99-9 39 . cm 2/sec Faraday's constant. Reducing the sulfuric acid concentration widens also the copper solubility range. A/cm2 limiting (diffusion) current. anodic and cathodic. mole/cm3 diffusivity. dimensionless transfer coefficients. cm r1eq-I 8.aXc. respectively. (ratio of activation to ohmic resistance). This is particularly beneficial within the blind vias that are not accessible to external flow. 3 concentration. the low-acidity electrolyte offers significant environmental. dimensionless equivalent mass transfer boundary layer thickness (Nernst-type). cm overpotential. using moderate flow. ohm cm transport number absolute temperature. The high copper concentration is desirable for sustaining a high quality deposition at high rates. A characteristic length. cm micro-leveling parameter. (ratio of activation to mass-transfer resistance) number of electrons transferred in electrode reaction per mole reactant universal gas constant. A/cm2 current. V conductivity. dimensionless Wagner number. deg K Tobias number (ratio of mass transport to ohmic resistance).deleterious effects of a thin seed layer on the deposit thickness uniformity. Tafel slope. 4. 1139-1143 (1990). Shaker Heights. Hoar and J. Horkans and H. The Electrochemical Society Proceedings Volume 94-9. pp.162 (1947) 3. Dukovic.REFERENCES 1. 450 (1953). ibid. C. and Dev. Landau. Disc. N. CELL-DESIGN®. H. Wijsman. J. 40 Electrochemical Society Proceedings Volume 99-9 . 1998. Bennion Mem. 42(5). 313 (1923) 2.. L. 1968 ACKNOWLEDGMENT We are grateful to Mark Bubnick for his help in experimental aspects of this project. Trans. 137. Newman. P. E. Soc. 567-574. September. Soc. 44. 5. 1994. Uziel Landau. Symp. Eds. R. Agar. Uzoh. Faraday Soc. Deligianni. Inc. 0.C. E. UCRL Report 18597. 13909 Larchmere Blvd.. Newman. H. 8.. Electrochem. OH 44120 6. J. Haring and W. Blum. 0. C. Electrochem. 7. Proceedings of the D. 1. L-Chem. White and J. Computer Aided Design and Simulation of Electrochemical Cells. P. IBM J of Res. Hsueh and J. W. Andricacos. Tobias and R. J. T. Lanzi and U. 100. INTRODUCTION The surface chemistry of copper is topic of long standing scientific and technological interest. which lead to significant changes in the mesocopic surface structure. The sample chamber and electrolytes were purged with argon before each experiment. The adlayer floats on the surface during metal deposition acting as template guiding step flow.6 V versus a large platinum wire mesh electrode. ordered halide adlayers are observed on all three surfaces. some of the remarkable effects of halide adsorption on Cu(100). A copper wire was used as a quasi reference electrode in the STM experiments. Moffat Materials Science and Engineering Laboratory National Institute of Standards and Technology Gaithersburg. (ý2 x . The electrolytes were deaerated prior to use and all potentials are referenced to the saturated calomel electrode. The voltammetric and STM experiments were performed in 0.5 cm diameter boule and aligned using Laue X-ray diffraction.01 mol/L H2 SO 4 .01 mol/L HC1O 4 into which 0. (C2x 4/2)R450 Br / Cu(100). c(p x 4/3R300) CI / Cu(1 11). Cu(1 10) and Cu(1 11) will be described.P. The crystals were then progressively polished to a 0. At negative potentials various phase transitions occur coincident with the partial desorption of halide. Currently. 1.1 V while the onset of Electrochemical Society Proceedings Volume 99-9 41 . Md 20899 ABSTRACT The potential dependent adsorption of chloride and bromide on the three low index copper surfaces has been examined with voltammetry and STM. RESULTS AND DISCUSSION The voltammetric behavior of three low index Cu crystals in the presence of chloride is presented in Fig. Clearly.1 gtm diamond finish followed by electropolishing in 85 vol percent (v/o) orthophosphoric acid at 1.STM STUDIES OF HALIDE ADSORPTION ON Cu(100). Cu(1 10) AND Cu(l 11) T. Copper dissolution occurs above -0.001 mol/L KCI or KBr were added. EXPERIMENTAL Copper single crystals were cut from 2. The adlayers lead to step faceting and in certain cases step bunching. A few experiments were also performed in 0. Initial experiments indicate that modulation of the potential in the range of the order-disorder transition has a significant impact on the morphological evolution during copper deposition.2)R450 CI / Cu(100). the subject is undergoing a renaissance due to advent of new structural and spectroscopic tools for in-situ analysis and the development of processes such as chemical-mechanical polishing and electrodeposition of copper for device metallization. The significance of halide adsorption on copper additive plating is briefly discussed. (3x2) Br / Cu(1 10). knowledge of the atomistic mechanisms relevant to the synthesis will be necessary. STM experiments were performed using a Molecular Imaging scanning probe microscope. In this paper. At saturation coverage. as the tolerances required for engineering structures on this length scale diminish. Copper is also being used as a key structural element in ultrathin magnetic devices such as spin valves. Tungsten tunneling probes were fabricated by etching in 1 mol/L KOH followed by coating with polyethylene in order to minimize faradaic background currents. 1 must be associated with chloride adsorption. 3. Under certain conditions. In addition. This is a strong indication that the kinetic restraint associated with the adsorption in these systems is of a similar origin. Cu + Cl.7 V.0. Images of surface steps reveal substantial mobility and the absence of step bunching.006 mC/cm 2 (i. separation of the oxidation and reduction waves. STM experiments are consistent with the c(p x "13)R30° assignment [20.-> CuClad + eCu(_. At potentials above . At potentials close to the equilibrium potential of the Cu/Cu÷ reaction a saturation coverage of chloride is anticipated due to the negative pzc values reported for all three surfaces as summarized in Table 1 [17]. while at slightly more negative potentials an order-disorder transition occurs that is accompanied by the desorption of less than 0.122 mC/cm 2 which corresponds well to the formation of a compressed (x'/3 x x'". Cu(_00): In comparison to Cu(111). The irreversible nature. the saturated adlayer forms by electrocompression as the potential is increased from -0. 5.298 V.hydrogen evolution occurs below -0. 2. a long range Moire pattern was observed which was ascribed to superposition of the incommensurate adsorbate superstructure with the underlying copper substrate which itself may be reconstructed [30-3 11. 4. As shown in Fig. The irreversible voltammetric response observed for chloride adsorption on Cu(l 11) has also been observed for bromide and sulfate adsorption as shown in Fig. Several analytical treatments are available for quantifying terrace width fluctuations in terms of the step and kink energy [25-28]. the adlayer tends to bias the steps toward the <211> direction with the strength of this interaction being correlated with the close packed direction of the compressed adlayer [ 16]. These observations are analogous to the respective effect of sulfate and iodide adsorption on morphological evolution during the lifting of the reconstruction of Au(100) electrodes [32].3)R30o or c(p x q3)R30° type adlayer structure listed in Table 2. A list of adlayer structures which have been reported in both UHV and electrolyte emersion and in-situ studies [8-24] is presented in Table 2 along with the charge that would accompany the halide adsorption process.11): Slow scan rate voltammetry reveals that the desorption wave shown in Fig. In the case of chloride solutions limited evidence for such rearrangement is available.e. the first desorption wave in Fig.441 V to -0. i. it is clear from Fig. which results in the formation of a minimal density of islands. As shown in Fig. Significant mass transport of copper atoms which accompanies the formation of the ordered sulfate adlayer was interpreted in terms of sulfate/water adlayer driven reconstruction of Cu( 111) which results in the excess copper adatoms condensing as islands on top of the reconstructed layer [311].-0.300 V the surface is covered by a (42 x q12)R45O chloride adlayer as shown in Fig. In the case of sulfate solutions. 7). The adlayer structure is also observed to exert a significant influence on step dynamics and orientation. STM studies reveal an ordered adlayer with a short-range order analogous to that observed on other (11) fcc surfaces [29-31 ]. This corresponds to the close packed direction of the adlayer which stabilizes the 42 Electrochemical Society Proceedings Volume 99-9 . in qualitative agreement with a recent emersion LEED study [23]. while a degree of irreversibility is apparent on Cu(l 10). Integration of the adsorption wave yields . Experiments performed in the absence of halide indicate that the redox waves shown in Fig. of the adsorption process on Cu(l 11) is in strong contrast to the reversible response observed for Cu(100). 1 that the desorption charge prior to the onset of hydrogen evolution amounts to far less than a monolayer equivalent charge. 1 is actually the superposition of catalyzed proton or water reduction with chloride desorption. The (/2 x q12)R45o adlayer leads to step faceting in the <100> direction.e. This may be due to either a change in the mechanism or an enhancement of surface mobility induced by adsorbed halide in combination with the high step density of the miscut surface. 23]. This transition may be related to deviation from a (3x2) to a c(p x2) structure which subsequently gives way to c(2x2) structure at -0. Thus.050 mC/cm 2 . Surfactant-Assisted Epitaxial Growth: During the last decade. as shown Fig. Integration of the voltammetry reveals incomplete desorption of chloride prior to the onset of proton reduction which is congruent with the more negative pzc of Cu(100) compared to Cu(l 11).4 V corresponds to 0. In contrast to halide-saturated Cu(I 11).g. This interpretation is in good agreement with the charge derived from the voltmmetric data (see Table 1). Significant step bunching is also Electrochemical Society Proceedings Volume 99-9 43 . orthogonal to the close packed <110> of the metal lattice.36 nm respectively [14]. 8 the peaks shift -0.310 V STM reveals the (110) terraces to be elongated with steps faceted in the <100> direction.40 V (Fig.6 V yields a charge of 0.4 V appears to correlate to a step faceting transition where the steps move away from the <100> direction. The symmetric nature of the adsorption-desorption process persists up to sweep rates of 1V/s which reflects the rapid kinetics of the adsorption and ordering process. 9.39 nm and 0. i. Integrating the desorption wave at -0.290 and -0. 10. kink density [34]. For example. no clear evidence of sulfate adsorption processes is evident from either voltmammetry or STM.underlying kink saturated metal steps. Cu( t10): An adsorption process is apparent between -0.x V revealed an ordered (3x2) adlayer corresponding to a saturation coverage of bromide. Similar voltammetry is observed for bromide solutions although the order-disorder transition is displaced toward more negative potentials. Imaging the surface at -0. consistent with the relative strength of copper-halide interactions [33]. In contrast.versus intralyer surface transport. [18-22].383 nm while the van der Waals diameter of bromide and chloride are reported to lie in the range of 0. the (qI2 x'/2)R45° chloride adlayer that forms on Cu(100) acts a template guiding step flow in the <100> direction [17-22).002 mC/cm 2 . The wave at -0. 6. It is interesting to consider the packing density of halide on the (110) surface in comparison to the van der Waals diameter of the respect halide ions. as shown in Fig 7. As shown in Fig. Moving the potential towards more negative values leads to the orderdisorder transition which results in significant rearrangement of the surface as the kinksaturated metal steps are destabilized as shown in Fig. Roughness evolution is often correlated to the relative rate of inter. the Ehrlich-Schwoebel or step edge barrier. The nearest neighbor distance of a compact (3x2) structure corresponds to 0. is known to be sensitive to adsorbates and step structure. In the case of electrodeposition the surfactant coverage and structure may be easily manipulated by potential control. a reversible adsorption process centered at -0. As the potential is moved toward more positive potentials a faceting transition occurs where the chloride covered terraces undergo a reconstruction. The barrier to interlayer transport.420 V. surface science studies of metal on metal homoepitaxial and heteroepitaxial deposition have begun examining the influence of "surfactants" on the mode of film growth.061 V/decade with chloride concentration which reflects the Esin-Markov effect. as noted earlier.e. -0.030 mC/cm 2 while the smaller wave at -0. In the case of bromide solutions two adsorption processes are apparent as shown in Fig.2 V has been observed in perchloric acid solutions. 1) which consumes 0. In contrast to Cu( 11t). Further work is necessary to clarify this issue. In previous work the adlayer has been shown to float on the surface during metal deposition thereby acting as template guiding step flow [17-22]. At potentials below the -0. significant step bunching is apparent on the Cu(100). the (3x2) bromide structure corresponds to saturation coverage based on a close packed layer while in the case of chloride an increase in the coverage and compression beyond the (3x2) structure is possible and this results in either reconstruction of the (110) terrace or perhaps a faceting transition to a (n 10) orientation.6 V. e. 20]. In order to assess the efficacy of utilizing these transitions to alter roughness evolution during film growth.1 M HCIO 4 . 37]. A critical transition in the polarization curve was observed at negative potentials. However. 11. It is reasonable to surmise that the strong electrosorption of halide limits the incorporation of the organic species which otherwise are known to lead to marked deterioration of the mechanical properties [37]. polyether-sulfide-chloride electrolytes. Furthermore. 6 [18-221. Physically this was attributed to a change in the hydrophilicity of the surface which favors the adsorption of hydrophobic species Additional work revealed that the geometry of the halide layer also exerts a significant influence on the packing of the molecules [41]. Our preliminary experiments involved examining the roughness of a variety electrodeposited copper films grown on (100)-oriented copper seed-layers on Si(100) [35]. a manipulated growth scheme has been implemented whereby the electrode potential is modulated to reversibly order and disorder the halide adlayer in the presence of a copper deposition flux. The Role of Halide in Additive Plating: It is well known that small amounts of organic additives in copper sulfate baths have a significant effect on deposit characteristics such as brightness. In the case of additive plating baths. ordered halide adlayers form spontaneously and segregate or float on the surface during film growth. Although these initial results were obtained for very slow growth rates. Interestingly. the deposition rate is significantly inhibited relative to deposition from a simple acid copper sulfate electrolyte [36]. At potentials typically associated with copper deposition. it is anticipated that the ordered chloride adlayers formed on immersed copper surfaces facilitate the formation of a well ordered organic layer which inhibits copper deposition. 8 and ascribed to the Esin-Markov effect. The films were grown under transport control from an electrolyte containing 0. when the potential was modulated at 2 Hz between the two potential regimes a near specular film was obtained as shown in Fig. Favorable evidence for such a sharp transition is provided by a study of the effect of chloride and polyethylene glycol (PEG) on the polarization of copper [36]. STM studies of the adsorption of organic molecules on a gold electrode indicate that the formation of wellordered organic monolayer films is mediated by an adsorbed iodide layer [38-41]. This is close to the -61 mV/dec dependence shown in Fig.apparent in this system. 500 nm thick. grain size. hardness. 0.g. conductivity and internal stress. ductility.10-4 mol/L are necessary in order to obtain bright deposits with good mechanical properties [36. The critical potential exhibited a -70 mV/decade dependence on chloride concentration. however it is generally noted that chloride additions . Furthermore. The blocking nature of this organic overlayer may be subsequently disrupted at more negative potentials where the halide layer becomes mobile due to an order-disorder or some other phase transition. Thus. In both cases the resulting films were optically rough. Future work will address this issue in more detail.001 M CuC10 4 and 10 x 10-6 M KC1.10-2 . The inhibition is clearly due to some interaction between the halide overlayer and the organic molecules. 44 Electrochemical Society Proceedings Volume 99-9 . cycling the potential leads to oscillation in the kink density and the step edge barrier height. were deposited under potentiostatic control in a regime where either the ordered or disordered halide adlayer phase exists on the surface. In contrast. nitrogen or oxygen functional groups are known to brighten copper deposits. e. Organic compounds containing sulfur. Copper films. the sharp transition in the polarization curve is consistent with the critical nature of the order-disorder adsorption phenomenon observed for Cu(100). previous work has indicated that the terrace adatom population also cycles with the step faceting transition [19. Thus. modulating the potential through the order-disorder transition leads to significant rearrangement of the surface as the kink-saturated metal step are repetitively organized and destabilized as indicated in Fig. it is likely that some of the underlying phenomena described may already have manifested themselves in certain pulse plating operations. Finally. Sci.J.. 116. K. Grider and J. T. Ehlers and J. L219 (1997). Soc. I.. M. C. (1998). 225-237. D.W. 33. Chem. 10725 (1994). N. D. L33. These potential dependent transitions may be used to influence roughness evolution during film growth and in fact may be at least partly responsible for some of the effects previously reported from pulse plating experiments.M.A. Pickering.V. Kadodwala.Chem. Y. Foresti. Moffat. P. 399.. R. H. 8.H.J. T. B. 1027 (1985). Surf. J. 6474 (1989). Moller. OM. 55. Phys. Hage.K. C. 137. B. J. J. Riess and W. Innocenti. 10.E. W. Stuhlmann and K. Stickney. REFERENCES 1. 180 (1977). J. A. (3x2)Br/Cu(1 10). 75. Vogt.Am. Lecoeur and J. I. Ehlers. Chem. Pittsburgh. Order-disorder transitions which accompany the desorption reaction lead to significant rearrangement of the mesocopic structure of the surface. 20. D. 377-379 (1997)..L. O. 67. 8351 (1995). Electroanal. Stickney. Sci. and B. G. T. N. Krufts. 86 (1977). 1833 (1979). Surf. Vogt. 15. I. Goddard and R.J. Ross. p. Gregory. Villegas. Soc. Lachenwitzer. K. Appl. The Electrochemical Society.. Gartland. 102. 17. Pezzatini and M.B. 137. Electroanal. Giessen. T. B. J. J. J.N. J. ed. Ehlers. Suggs and A.J... 10034 (1998). Bange.J. 757 (1983).Y. Pittsburgh. Chem. Surf. 30. At more negative potentials the adlayers undergo a variety of structural transitions associated with progressive desorption of halide.CONCLUSIONS A variety of ordered halide adlayers are observed on the three low index crystal faces of copper: ('12 x '12)R45 CI/Cu(100). Apple. Phys. Osawa and K. Lipkowski and P.G. Berge. 49. Electrochem. Thomas. 14. J. 9.. 6. Lambert. Sakurai and H. Jeon. J. 1368 (1988).J.P. 3143 (1990). Himpsel. 246 (1993) 13. Chem. (1996). it is likely that the potential driven structural transitions in the halide layers are intimately associated with the inhibition and breakdown effects associated with organic molecules used in additive plating. Rev Lett. Surf. Lagsvold. J. Sci. 3. P. 284. C.B. Materials Research Society Symposium V404. Sci. M. 24. Rev.L. Ehlers. 67.M. Wandelt. Meyer.. Sci.. PA (1997).R. 3. Materials Research Society Symposium V451. Villegas and J. Elektrokhimiya 15.L. Magnussen and R. R. Hass. 5. 12. in Structure of Electrified Interfaces. Hashizume. F. Vacuum. Sass. 4. 16. 22. 2. 28. Electrochimica Acta. G. Suggs and A. 11. Moffat. These layers exert a strong influence on step orientation and dynamics. Villegas and C. Lu. 191 (1997). Steinmann. 23.P. Phys. PV 95-8.B. Magnussen and R.Y. M. R. Chem. Sci. VCH Publishers. M. Bellier. T. Inukai. J.Soc. J.. Phys. c(p x ".E. Wohlmann. H.Lett. 99. Stickney. 300 (1985). 403 (1990). 42. Searson and J. NJ (1995). pg.3R30)CI/Cu(1 11).P.P.W.. Pennington.B.R. Itaya... Behm. Dohl. Bard. Hennig and V. Schilz. Batrakov. ('12 x '12)R45Br/Cu(100). C. PA (1996). Moffat..O. 21. Surf.L. C. S. Jones and M. At small overpotentials for copper deposition the ordered halide adlayers float on the surface guiding metal deposition. 738 (1972) 7. D. in Nanostructured Materials in Electrochemistry. K. Surf.M. Schmickler. 370. J. Behm. Bard. Inc. 18. 367. F. Motai.. 434.Phys.L. Langmuir. 19. pg. Amer. (1993). P. Electrochemical Society Proceedings Volume 99-9 45 .W.. Stickney. 4. J. eds. 121 (1998). M. Chem. 26. Nichols. 179 (1978). Stuhlmann and K. 329. J. 2337 (1995)..40 4.987 4. 86. Kunitake and K. M. J. Z. 83 (1998). 2. 276.Y. 384. Sashikata. 299/300. T. 1504 (1998). 34. Ogaki. M. M. 32.Phys. N. P. K.. M. 29. 245 (1996). 153 (1998). Sci.D. M. 38. X. Batina. Langmuir. Apple. F. Haak.572 -0. C. Nakakura. M. 55 (1997). Chem. Sci. 97. Kruft.J. Electroanal. 2896 (1998). M.81 -0.P. 14.93±0. White. Dietterle.59 4. Zhang and M. 35.. and J. Surf. R. 502 (1994) M.I. Sci. Schmitz. 28. Itaya. Wandelt. J. 11. Williams. Wilms. Electroanal. 377 (1997). R. Park.. 405. 7185 (1996). L149 (1997). 15. Shima.G. Gao and M. 31. J. T.Phys. Stapel.83 4. Electroanal. Altman. Hill and G. Surf. 39. L. Science. J. Wilms. Stuhlmann. Kolb.01 D [4-7] (eV) 4. Phanse and E. Sci. Weaver. Langmuir. Rogers...D. Broekmann. H. Anderson. Li and R.63 -0. Sci. Giesen. 168 (1997).. Table 1 Published pzc and Work Function Data Face pzc [1.946 4.8 -0. 402. Chem. Itaya.. Surf.45 4. and H. 27. R. 47 (1995). 370. McMichael and L. 41.48 4. Batina. 100. M.. Ibach. C.J. Kunitake.. Itaya. D. M.451 -0. Z.. C. Surf. D. Ibach and D.87 -0. J. Giesen. Sugata. Salamanca-Riba. M. N.Appl. Swartzendruber.7 -0. Kunitake and K.Phys. Lagally. K. 36. C. 3] (SCE) -0. M. N.635 4. Sci.45 25. 8685 (1993). Ogden.R. 40. D. 416.H. Batina and K.. Wandelt.T. Chem. Jentjens. Giesen-Seibert. 84. (111) (100) (110) 46 Electrochemical Society Proceedings Volume 99-9 . V. Sci. K.E. Broekmann. Chem. Sugimasa and K. 631 (1985). Electrochem. Surf.M. Tench... Moffat.. Itaya. 370. 30. W. Surf. Surf. P. 456. 33. J. 37. .393 0.450 0. cu(11I) i /.123 0. 10 -150 -200M • 0 0 HO.094 0.25 V.4 -0.20 0. . Voltammetry for the three low index copper surfaces in the presence of chloride. .. .6 -0... Cu(lOO) ...111 0.7 nm x 6.53 x 1015 1.. .087 0.5 c(p x /3R-30°) c(2x2) 0. 1.39 (9M3 x943)R300 0.33 (123 x 12 /3)R300 0.3 x 43)R300 0.. .383 (100) (111) 1.39nm 0.44 nm 150 100 50 S o-50 .8 -0.... 2...5 (3 x 2) 0.. .77 x 1015 (42 x 42)R450 0.45 3..44 (643 x 6/3)R300 0.128 0.Table 2 Chlorine Strutures and Coverages: Ideal and Experimental [8-24] Surface 2 Cu atoms/cm Cl Structure CI coverage Charge (MEQ)* (z=1) mC/cm2 dnn (nm) 0.409 0. . Fig..511 0.42 (4q7 x 447)R19.0 > p >2.119 0.125 0. 0+001 Cl M 100 mVIs -250 -1 .386 0.. STM image of c(p x43)R300 chloride adlayer on Cu(1 11) at 0.7 nm) Electrochemical Society Proceedings Volume 99-9 47 .362 0.08 x 1015 (.5 0. . (6.114 (110) * 1. ... -0.2 0 - Potential V(SCE) Fig.36 nm 0...66 MEQ ...398 0.monolayer equivalent charge Halogen van der Waals diameter [ 14] Cl Br I 0.. 4 -0.01 M H.8 I I -0.+ .441 to E 100 Y2 = -0. 3.. As the potential is swept in the positive direction from yI = -0..5 nm) of (.9 nm x 6.. •" -. -300 -1 -0.. 4. Fig.298 V compression of the adlayer is appearent. STM image (12.. STM image showing the electrocompression of the c(pxxi3)R30o adlayer on 200 Cu(l 11). 5.oiMHCSO.SO..Fig. Influence of anions on the voltammetry of Cu( 111) in perchloric acid.2 xq2)R450 chloride adlayer on Cu(100) 48 Electrochemical Society Proceedings Volume 99-9 . 0.. a 10 C a) toM/ -20 / / .5 nm x 12.2 0 Fig. .-o. (6..9 nm)0 / 7.6 Potential V(SCE) -0. B.. A. C. Electrochemical Society Proceedings Volume 99-9 49 . 6. -0. D. -0. an order-disorder transition occurs and the kink saturated metal steps move rapidly to <110> direction in order to minimize the kink density.) Formation of the 42 x4/2)R45o chloride structures at -0.096 V leads to rapid step faceting in the <100> direction.Fig.096 V.) When the potential is shifted to more negative values.649 V. (39 nm x 39 nm).) the •I2 x412)R45° chloride structure forms and the mesocopic structure coarsens rapidly when the potential is stepped back to more positive potentials. i -0.. The dependence of the peak potential of the faceting transition on chloride concentration.32 -0... Influence of anions on the voltammetry of Cu(100).7 -0.) -0.1 0 Potential V(SCE) Fig..26 ¶ 20 10 ý I&eHC0) + OKI 0. 7.....194 V. .30 u(100) O lOmWS .) -0. HcIO ÷ ..3 -0.... the slope -0.....8 -0.. Fig.... A B Fig..061 V/dec is proportional to the EsinMarkov coefficient. -0... 9. "~I HM.... I .26 ..3 -0.. -0.. Assuming the transition occurs at a fixed charge.34 101 10" Chloride Concentration (tnoolL) L -20 -30 f .4 -0..01 .395 V and B. M -0.24 0. .K• - IM•aO*......to0.. '.6 -0. (74 nm x 74 nm) 50 Electrochemical Society Proceedings Volume 99-9 .......=0~1U0 .5 -0. '.- 20mV/P . ...2 -0. 8... STM image revealing the faceting transition between (110) terraces and a (nlO) like structure as the potential is swept between A.. 5 -0.4 Potenlial V(SCE) -0. . . . disordered Or adlayer I c(p x2) . -0. Voltammetry of bromide adsorption on Cu( 110).0.7 -0.06 .01 M HClO + 0.1 M HC10 4 + 0.001 M Cu(C10 4)2 + 0. Electrochemnical Society Proceedings Volurme 99-9 5 .. . -0. .3 . . ..00001 KCI. The voltammogram is featureless in the absence of halide...02 S-0. . 10.. .1 Fig.04 C(2)) 70.001 M KB. . at -0. . .6 -0. .8 -0. . -0. (3x2) . . 100nV/o 0. . 0. .2 I -0.02 U -0.1 ML/s from 0. . Essex Junction. aIBM. VT 05452 ABSTRACT We describe modeling results of shape evolution of single Damascene features used in electroplating of on-chip interconnects. A comparison of experimental partial fill with the model.. G. compounds added to the plating solution to improve deposit properties.J. The parameters have been further used to predict shape evolution profiles in lines with 0. A more specific definition of superfilling can be derived from Figure 1 which shows the predicted shape evolution of electroplated copper within a trench. 0. Diffusion is sustained because the additive is consumed at the surface by reaction or incorporation into the deposit.2 micron width and aspect ratio 2 and 5 and in vias with aspect ratios of 4. INTRODUCTION Electroplating in Damascene structures has been used at IBM to produce on-chip copper interconnects (2). adsorption and reaction to the surface. as shown in Figure 1. Deligiannia. Andricacosaand E. Superfilling can be understood by comparing deposition rates at different points along the feature profile. N. If we consider point A on the feature sidewall at distance about one fifth from the feature top surface and point B at a distance on the sidewall two fifths from the bottom wall. Ways to eliminate voiding in high-aspect ratio vias are discussed. the copper is being depleted to 85% from its original bulk concentration resulting in voiding. In vias with aspect ratio of 4.Y 10598 0. C. P. At each timestep. is higher at point B than at point A due to differential inhibition. J. bIBM Microelectronics. Burlington. Watson Research CenterP. The model that predicts superfilling was first described in reference (1).A MODEL OF SUPERFILLING IN DAMASCENE ELECTROPLATING H. results in extraction of values for two parameters used in the inhibition factor. induce a behavior we call "superfilling" in which deposition rates are higher at bottoms and sidewalls of trenches and vias than at shoulders. the better the tendency of the plating solution to superfill Damascene structures. The essence of the model lies in the assumption that the rate constant for electrodeposition. The rate of copper electrodeposition contains an expression which gives the level of copper inhibition based on the additive diffusion. then the plating rate difference between B and A is defined as superfilling. t. Box 218.1000 River Road. the higher the difference in thickness between these two point.T. Yorktown Heights. The model also predicts the local additive flux along the feature wall at each timestep. Waltonb. Our model has the quantitative capability to predict the superfilling behavior and also the capability to predict conditions for which superfilling breaks down and voiding occurs for both trenches and vias. It is assumed that the kinetic inhibition is a function of the additive flux and so the 52 Electrochemical Society Proceedings Volume 99-9 . Additives. The surface concentration of adsorbate species varies along the feature because it is influenced by the diffusive transport of the additive/inhibitor. This point is explained qualitatively in reference (3). Dukovica. ) 'V S f =nFDMCM [8] [9] Parameter b is a function of the physical properties of the additive and of the inhibition constant Kiev. L . Electrochemical Society Proceedings Volume 99-9 53 . = 0 potential in the electrolyte [1] cupric ion concentration [2] additive concentration [3] V*2 CM = 0 V 2 CA* = 0 At the wafer surface we have the following boundary conditions: Cjt =0 [4] C*=ShD* [5] copper electrodeposition rate inhibition function [6] [7] (*'= kvIC'Y-'ace"a 1 where V/= I 1l+bCj'A b =Ktevy. The exponent p was introduced arbitrarily to widen the range of fluxes over which inhibition occurs and obtain rounding of interior comers. (DACA C -DCA L . It was determined necessary to have an exponent less than one to obtain rounded comers of the deposited copper profiles. monotonically with the addtive flux Cj' . which varies We describe below the basic equations of the model and simulation results in both trenches and vias. MATHEMATICAL MODEL The following system of equations was solved.rate constant of electrodeposition is multiplied by an inhibition factor V/. All equations are in dimensionless form: 2 V*7 I. the insulator thickness is 0. Figure 5b shows that good fill can be obtained when the bulk concentration of cupric ion is increased fourfold.We will discuss results of a numerical model that represent the shape-evolution behavior of the system. Both trenches fill well without voids or seams. Deposit profiles within high aspect-ratio lines and vias are presented. the line with AR of 5 fills up more abruptly than the line with AR of 2 which fills up more sequentially. as expected. One way to obtain good fill of these type of vias. The effect of aspect ratio on superfilling and shape of the deposited copper is shown in Figure 3. 2 5. On the left hand side. The local additive flux along the feature sidewall. as well as the inhibition function. There is always a timestep in the high aspect ratio trench after which. On the right hand side. a value of b-3 was used. RESULTS Figure 2 on the left shows an experimental result of copper plating in a single Damascene line using proprietary additives. These values of b and p generate rectangular comers and a microtrench at the centerline of the feature because of the rapid growth of the sidewalls.2 pm trench but with aspect ratio of 5. The parameters used for these simulations are b=17.4 pm) is shown. The match between experiment and simulation is very good when the values for a. However. It is because of the concentration overpotential due to the depletion of the cupric ion that Figure 5a shows a void in the copper deposit which is located at the lower 1/4 of the via centerline. Figure 3 on the left shows the deposited copper profile in a 0. Figure 2 on the right shows the comparison of the experimental profile (dashed line) with the shape of copper predicted by the model (solid line). The main reason relies in the restricted nature of the via geometry and or of the shape of the evolving profile that promotes depletion of the cupric ion in solution and generates an appreciable concentration overpotential. The void appears because the cupric ion concentration is severely depleted to 85% of its original bulk value. Figure 5c shows that by choosing a 54 Electrochemical Society Proceedings Volume 99-9 . Sh. Filling of vias is a lot more difficult than filling of trenches.e. the line fills from the bottom up. On the right. the shape evolving in the AR of 2 line is more rounded than the shape of copper deposited in the AR of 5 line. is to relieve the concentration overpotential by increasing the bulk concentration of cupric ion or by increasing the overall agitation to thin down the diffusion layer thickness or by decreasing the superficial current density. The line width is 1.16 and p=0.2 pm.25 where used in the inhibition function. b=3.54 pm. The depletion of cupric ion primarily occurs in the location of the void.8 and p=0. the profile evolution in a 0. y + -n were determined from process conditions or were taken from the literature. Deposition was interrupted before complete filling was achieved to assess the shape of deposited copper. Also. a value of b-56 was chosen which gives a high degree of superfilling and very rounded profiles.62 um and the trench height is 1. Figure 4 demonstrates the difference in the shape of the deposited copper when different values are chosen for b. The model predicts a different filling behavior in lines than in vias. The parameters WaT. give useful insight into the mechanism of superfilling.2 pm trench with aspect ratio of 2 (i. Figure 5a shows a via with AR of 4 and width of 0. 2/um x 0. a wide. a 0. Position of 0 denotes the center point of the trench bottom wall.8 . For b=3. i. In high-aspect ratio trenches. will lead to copper electrodeposition taking place without the effect of inhibition and will also lead to copper deposits with rectangular shape (without corner rounding) as has been observed in the via simulated profiles. The lowest additive flux occurs at the lowest corner of the feature while the maximum flux at the upper corners of the trench. a phenomenon we call superfilling.25.2 and p--0.different bath chemistry with higher b parameter.54pum for different timesteps as the feature plates up with copper.um via can be filled reliably without a void or a seam. A bath with these characteristics shows promise to fill high-aspect ratio trenches and vias. Figure 8 shows the same type of additive flux as a function of position but for a simulated via with dimensions 0.8pum. This in turn.2pimx0. there is a few orders of magnitude of additive flux for which the inhibition function is 1 and only a short range of useful fluxes for which the inhibition function is between 0 and 1. Differential inhibition of electrodeposition along the sidewall of a Damascene feature.5. simulated profiles yield a range of shapes from rectangular corners to rounded corners and from bottom fill-up to sidewall thickening depending upon the values of two model parameters. In high-aspect ratio vias. and as b increases to higher values the useful range of fluxes becomes several orders of magnitude.16 and p=0.8 and p=0. for b=56. Plating baths that exhibit superfilling are baths that during deposition. For b=10 and p--0. This function has the value of 1 when there is no inhibition in the copper electrodeposition rate and is typically between 0 and 1 when differential inhibition results in superfilling.e.25 there is a wider range of useful additive fluxes. Formation of seams or voids occurs because of the interplay between shape evolution and cupric ion depletion in deposit profiles where sidewall growth is favored. Figure 7 depicts the additive flux along a trench with dimensions 1. dynamic range of fluxes exists over which differential inhibition of copper deposition occurs. A plot of the inhibition function. the inhibition function curve has several orders of magnitude of useful fluxes at which inhibition of the copper electrodeposition reaction can occur.25.as a function of the local additive flux as calculated by the simulation is presented in Figure 6. / . For example.62pmxl. severe depletion of the cupric ion is predicted by the simulation which gives rise to void formation toward the lower 1/ of the feature centerline. CONCLUSIONS Results of a detailed mathematical model that predicts superfilling have been presented. It is this type of differential inhibition over a wide range of fluxes that results in superfilling. It appears that the fluxes at the bottom sidewalk of this high aspect ratio via are too small to be resolved. can be accomplished by plating from certain additive-containing plating solutions. b=17. The inhibition function reaches asymptotically a constant value when the inhibitor has reached saturation. Electrochemical Society Proceedings Volume 99-9 55 . 1998. eds. 567. McDevitt. Edelstein. D. Koerner. IEEE InternationalElectron Devices Meeting. Simon. Uzoh. 773. Luce.. Dukovic. Andricacos. Kobayashi. C. Uzoh. Rathore. P. I. R. Heidenreich. W. Res. Cote. 1997. J. 2. N. M. Materials Research Society. Wachnik. Slattery. Develop. P. Goldblatt. J. Sandhu.O. I. 29. G. J. P. Lustig. and J. Y. Uzoh. C. Horkans. L. Horkans. S. T. Deligianni. C. Technical Digest. 56 Electrochemical Society Proceedings Volume 99-9 . and H. A. Murakami.. Dukovic. H.O. Yasuda. 42. Schulz. H. 3. W. IBM J. Su. 1998. Roper. R.C. Dukovic. and N. Proceedings of the Advanced Metallization Conference (AMC 1998).C. R.REFERENCES 1. Andricacos. and H. Deligianni. J.S. Motsiff. I O. Solid line is predicted copper profile and dashed line is experimental copper profile: b =3. Electrochemical Society Proceedings Volume 99-9 57 .16 and p=0.25 were used in the model. Definition of superfilling based on rate of copper electrodeposition along the feature sidewall.Figure 1. Comparison of line partially deposited with copper with model prediction. II .S 0 O.SI Figure 2. 5 0 0.5 -1 . p=0.5 0 0.5 -1 -0.5 ! 1.5 0 0. right 6 AR of 2.5 I 0r 1. Left AR of 5.5 "'' 0 0. right b=3.25.5 -1 . 58 Electrochemical Society Proceedings Volume 99-9 .0. b=17.16.5 Figure 4. Left b=56.5-1.5 -I -0. p=0.5 I 1.8.0.25.5 1 1.5 Figure 3. Effect of aspect ratio on superfilling of a 0. p=0.25 6 5-- Z"O -w 5- 4- 4- 3- 3 2- 2 -1. Effect of superfilling parameters in shape evolution.2 micron trench.6 5- 43- 33 2- 2- 0 -1.2.5-I. 25 0.4.8- o.2.78. Inhibition function versus additive flux for different values of the superfilling parameters. p=0.04 b=1O.1.2x0.0 b=17.13xM.O b=3.16.25 25 1E-10 1E-8 IE-6 0.8. Electrochemical Society Proceedings Volume 99-9 59 . p= .8 via b=17.16. p=0.2 "i* "IE-12 * 0.2x0. p=0.O b=56.25 0.2x1.2xi.8 via b-3.2- Improvement in "• 0.5 S0. p=0.25 S0.25 S00. p=0. 04 * 0.16.0001 0.6- 0. p=0. • 0.2xl.01 additive flux dimensionless Figure 6.04 b=3.13x1. 54 micron I S20th 40th 0.8 micron. Additive flux as a function of position in a line 1.62 micron x 1.2 micron x 0.00 1* 0o0001 1E-5 -2 -1 0 position along feature 1 2 Figure 7. 60 Electrochemical Society Proceedings Volume 99-9 . thi 1. E-61 IE-10' -3 -2 -1 0 1 2 3 position along feature Figure 8. Additive flux as a function of position in a via 0.1- 0.01 - S time stepý.01- S0 . Gill+ Center for Integrated Electronics and Electronics Manufacturing. INTRODUCTION The current trend in semiconductor technology toward smaller device features has led to the narrowing of integrated circuit line width. Using a small duty cycle and current density along with small pulse periods gives the best step coverage. the steady state regime which is obtained when large pulse periods are used. It has superior mechanical properties. a linear analytical model has also been developed to obtain key physical trends in the system. In addition. Experiments for the pulse plating of copper into trenches have been performed using a new alkaline bath. +Department of Materials Science and Engineering. the unsteady state regime when small pulse periods are used and a transition regime between the two for intermediate values of the pulse period. Troy. Duquette++ and William N.25pM TRENCHES Desikan Varadarajan+. NY 12180-3590 ABSTRACT A mass transfer model has been developed for the pulse plating of copper into high aspect ratio sub-0. Charles Y. Increases in chip functionality and chip performance have led to the need for multilevel interconnects. The step coverage is also better for smaller aspect ratios. A complete parametric analysis has been performed to study the effect of the important parameters on the step coverage and deposition rate. Important parameters have been identified and their physical significance described.25 micron trenches and vias.A MASS TRANSFER MODEL FOR THE PULSE PLATING OF COPPER INTO HIGH ASPECT RATIO SUB-0. Lee++. Troy. lower resistivity and higher electromigration resistance when compared to aluminum. Rensselaer Polytechnic Institute.. The resulting model equations have been solved numerically as a coupled non-linear free boundary problem. NY 12180-3590 +Department of Chemical Engineering. David J. Surface and concentration overpotentials coupled with the shape change due to the deposition on the sidewalls and the bottom of the trench/via with time have been explicitly accounted for in the model. The duty cycle is an important parameter in pulse plating. Rensselaer Polytechnic Institute. The model trends have been used to design the experiments. inexpensive and reliable way of filling Electrochemnical Society Proceedings Volume 99-9 61 . Even though it is possible to create micron and sub-micron size features using current photolithography technology.25gm devices. From the parametric analysis three regimes of operation have been identified. Electrochemical deposition (electroless/electroplating) of copper is a versatile. Copper is rapidly emerging as the interconnect metal of choice for the next generation of sub-0. viz. Model trends are found to be in excellent agreement with our experimental observations. It has also been found that using small pulse periods gives better filling characteristics inside the trench. voidless filling of such features still presents a difficult problem in chip processing. In order to build multilevel interconnects filling high aspect ratio holes in dielectric reliably is critical. The alkaline bath is non-corrosive and does not contain any additives. which is defined in eqn. A well-supported electrolyte is assumed. . 3.t)dx =_ 0 G [21 0 w(y) 62 Electrochemical Society Proceedings Volume 99-9 . The following assumptions are made in order to simplify the problem. can be represented by the average concentration. 1. It is assumed that a single cathodic deposition reaction occurs and that the current density normal to the cathode surface is described by the concentration dependent Tafel equation. In pulse plating the substrate on which metal is to be deposited is the cathode. Ohmic influences are assumed to be less important than the concentration and activation overpotentials. 1. The aspect ratio of the feature is assumed to be large compared to its width. Convective effects in the reactor space external to the feature are included by making use of the film theory. sinusoidal or triangular current waveform may be applied. without much loss in generality or accuracy the following assumptions are made.CF [1 The resulting two-dimensional free boundary mass transfer problem' requires a complicated and time-consuming numerical strategy.y. Since the dimensions are sub-0. A rectangular. 2. The effects of the bath hydrodynamics external to the wafer are included by assuming a thin concentration boundary layer adjacent to the wafer. ca. i = -io exp(. Of these. [2]. Hence the contribution of migration to transport is small and a solution of the potential field is not necessary. the rectangular waveform is the most popular. THEORY Figure 1 shows a schematic cross section of a typical plating tool along with an enlarged view of a feature inside the wafer. A rectangular waveform with a period during which current is passed and deposition occurs (ON) and a period during which no current is passed and pure diffusion occurs (OFF). the concentration variations across the width of the feature are small.4y) Jc(x. 2.such high aspect ratio features. The pulse plating of copper into damascene trenches using rectangular rectification is investigated in this paper. Electrochemical deposition of copper has come to be one of the most important steps in the metallization schemes using the dual damascene technique.. In order simplify the problem further and obtain important trends. Therefore the concentration in the x-direction. across the feature width. 4.251im and the aspect ratio is assumed to be large. t Y' tp W2( _ y_ . = . 0 -<X . --W j c.Making use of eqn.[51 inO 0 < x. An analytical model has been derived Electrochemical Society Proceedings Volume 99-9 63 . w W. 2 2 DtP I x -f RT Mc.DF) inO ______ ay I [141 There is no growth of the deposit during the OFF period. < w...0 [6] [71 [8 1 ac.) = _ (¢ ) + h aCy(.. ____=___c [9] S)=0 [10] att a [11] [12] _< w.(y.. S t. t The growth of the deposit is given by.(y. WO h wh WO) [3] 2 2nFDc . dt rz 1 t1=0 For the OFF period. =-Sh(l. ) w0 kw 2D tp [4] [4] The model equations become. dT-. Cc® C. ay .12] in the two dimensional formulation and defining the following dimensionless variables and parameters we get for the ON period. =c. (0. w' WO . The physical significance of the dimensionless parameters is described in Table 1. c.= I (c.O)=1. [13] ayl 6-x.0 .c.)-*". The wafer sections were clipped onto the rotating disk electrode and plated in a solution containing a copper-phosphorus anode. 4. EXPERIMENTAL RESULTS Pulse and Pulse reverse plating experiments have been performed on 0.25 hours to develop 7jim of oxide.Splm. EXPERIMENTAL PROCEDURE Plating experiments were performed on sections of both blanket and patterned wafers. The patterned wafers had a 0. These assumptions make the problem linear and enable one to obtain an n analytical solution.08M CuSO 4 5H20. This leads to a steep concentration gradient inside the trench.0. A 5% reduction in resistivity was observed upon annealing.7 Torr and an argon pressure of 5mTorr. This leads to an increase in mass transfer resistance as the trench moves toward 64 Electrochemical Society Proceedings Volume 99-9 .and post-anneal measurements were taken. the polarization parameter. SCE was established.15M (NIh) 2SO 4.2 to 2. The wafers were p-type device quality wafers subjected to wet oxidation at 1050°C for 1.by neglecting the effect of the free boundary. Polarization increases with increasing current density and since the growth rate is directly proportional to the current density the growth rate increases with increasing polarization. The plating bath composition selected was 0. and 0.=1. that provides more physical insight. 0. As polarization increases the step coverage decreases however the deposition rate increases. The resistivity of the copper deposits was measured using a fourpoint probe. The sputtered layers were 30nm thick and exhibited a resistivity of 2. The resistivity values of the deposits before annealing ranged from 2. 2:1 aspect ratio features. Plating was also conducted using both forward and reverse pulses. Plating in only the forward direction was performed at various pulse cycles ranging from 10 to 1000 Hz at an applied bias of -750 mV vs. The analytical model overpredicts the step coverage as it does not account for the movement of the boundary. An increase in the polarization indicates that the deposition rate on both the sidewalls and the base of the trench has increased more quickly than the rate of mass transfer. This suggests that there is a trade off in obtaining high deposition rate and step coverage and the question is: what is the best set of parameters for the process? An optimization of the parameters is required in order to make the process attractive. SCE. as shown in Fig.1 td)cm. in terms of hyperbolic sines and cosines. The electroplating experiments were performed with a DynatronixTM micropulsereversing unit. Pre.2M NH 3.5 jim minimum feature size with a 2:1 aspect ratio. Thus the depositing species encounter increasingly aggressive aspect ratios.5 Rit) cm. assuming pseudo steady state and that a v+_. for which a reverse potential of -100 mV vs. RESULTS AND DISCUSSION Figure 4 shows the step coverage and the deposition rate obtained from the analytical model plotted as a function of the parameter. which in turn leads to larger growth rates at the trench mouth than at the base resulting in poor step coverage.3. A copper seed layer was sputtered at a base pressure of 10. when the deposition is kinetically controlled (i. the duty factor have a profound effect on the evolution and the final shape of the deposit. On the other hand. Large polarization's and aspect ratios lead to deposition that is mass transfer controlled. as there is negligible variation in the deposition rate at the mouth and at the bottom of the trench. and this is the optimization problem mentioned above. A complete parametric study of the unsteady state mass transfer model clearly shows that ti. Therefore proper choice of the pulsing parameters is crucial in order to obtain perfectly conformal deposits even at times near closure. Large pulse periods Electrochemical Society Proceedings Volume 99-9 65 . However on decreasing the pulse period (-2ms) there is a large increase in the step coverage as one decreases the duty factor. leading to smaller feature width at the trench mouth. Accordingly to obtain the best step coverage the parameters must be chosen so that the mass transfer resistance is small compared to the kinetic resistance. The model results are in good agreement with the experimental observation. Figure 7 shows the comparison of an experimental and simulation deposition profile. however the increase is not large. Hence a suitable choice of parameters is crucial in obtaining reliable deposits without keyholes. linear-kinetics and fixed boundary. This results in keyhole formation.closure. This shows that an asymptote is reached with respect to the pulse period near 0. At high pulse periods (>20ms) the curve is flat and the effect of pulsing is negligible. the accessibility of the reactants through the mouth is reduced. As the film evolves inside the trench and the profile moves close to closure. Hence we see that the deposit is nearly conformal at the beginning whereas at closure a large keyhole forms inside the trench. as the concentration gradient inside a high aspect ratio trench is very large. the polarization. Figure 5 shows the evolution of the deposit inside a trench to closure. CONCLUSIONS From the analysis of the model trends and experimental observations the following conclusions can be made. On further reducing the pulse period there is an increase in the step coverage. The analytical model can be used as a simple estimation tool for determining the lower bound on the step coverage. Results from the analytical model clearly show that there is a strong trade off between obtaining good step coverage and large deposition rates. Accessibility is reduced further as the growth rate near the trench mouth is greater than that at the bottom. 4. An analytical model has been developed based on the assumption of steady state. the pulse period. Operation at this pulse period will ensure the filling of small features at the optimal rate. Small duty factors lead to a small drop in concentration during the ON period.5ms and the step coverage and deposition rate do not improve with decreasing pulse periods below this value. Figure 6 shows the step coverage as a function of deposition rate for varying pulse periods and duty factors. for small values of polarization and aspect ratio) the gradient down the length of the trench is much smaller and deposition proceeds at nearly the bulk concentration. This leads to conformal deposition. A.e. and DF. Hence the deposition can be made to occur at nearly the bulk concentration. the aspect ratio. Duquette and William N. Copper pulse plating experiments have been performed using a new alkaline plating bath. Desikan Varadarajan. The model trends are found to be in very good agreements with the experimental observations. Charles Y. May 1999. The bath is non-corrosive and does not contain any additives. Good step coverage and deposition rates have been obtained at small pulse periods and duty factors.5gtm size and 2:1 aspect ratio have been plated using this bath. On the other hand using small pulse periods and duty factors along with reasonable current densities give good step coverage and deposition rate leading to conformal filling.(-lOOms) and duty factors along with large current densities lead to the formation of a keyhole in the trench. David J. The resistivity of the plated copper is close to the single crystal bulk resistivity. Moreover for a given aspect ratio and current density there exists an asymptotic value of the pulse period for which the step coverage is maximum. REFERENCES 1. Lee.25ýim trenches. By operating at this pulse period and by choosing the duty factor in such a way so as to obtain reasonable deposition rates filling can be optimized. Submitted to the Journal of the Electrochemical Society. 66 Electrochemical Society Proceedings Volume 99-9 . Features of 0. A tertiary current distribution model for the pulse plating of high aspect ratio sub0. Gill. Notice that void size decreases with decreasing pulse period. No voids are observed for pulse reverse plated specimens. Electrochemical Society Proceedings Volume 99-9 67 . Also shown is an enlarged view of the system geometry Pulse Plated Pulse Reverse Plated ~Aj Figure 2. SEM pictures of pulse plated and pulse reverse plated features.Diffusion of Cu from the reactor into the feature 2 t / ' \ " RW •'la film Thin• • y - DV 2 ¢C= 4 wo ac h Figure 1. Schematic of plating tool. 510.1 Pulse cycle (me) 0.95S0. Good resistivity values are obtained.5//0. 68 Electrochemical Society Proceedings Volume 99-9 .0 1.c.003 0.9 0.5 I S2.006 0. 17 .1 j 0.1 0.1 1.9/0.Before anneal Matter anneal 2.002 0.004 0. 4 Figure 4 Trade offbetween high step coverage and deposition rate.5 0.007 Polarization parameter.85 -0 2.9/10.1 Fig.8 2. 3. 3 Observed resistivity of copper deposits pre and post anneal. 90/10 9/1 0.005 0.5 d. 8 1.4. Simulation parameters used are.003.tm. . .35x1 04 tp 0. wo=0. T=1.4 0. 4=0. as the pulse period is decrease. Simulation parameters are A=4.2 1.181im. An asymptote is reached.10% closure 100% closure Figure 5 Evolution of deposit inside a 0.0. DF=0.18.5ms $ Ims & ". For this value of the pulse period the step coverage is maximum. 4:1 aspect ratio trench.9 2ms 20M. Electrochemical Society Proceedings Volume 99-9 69 .01. Better step coverage can be obtained at the same deposition rate (at a given duty factor) by using smaller pulse periods.6 Deposition Rate (ptm ImIn) Figure 6 Effect of pulse period and duty factor on step coverage and deposition rate. 4=0.- 0.and Asymptote(maximum step coverage deposition rate) o0.8 0 0. k Figure 7. Ratioohecharticdn9nsi tothe P Rise Mid (CN+ CFIt-) tirn-v the to depositiont (C"N Ratio of th~e ! S SI ! i Uin Nitn S 4 Dt t t O DFy Factor psepedod ioWo exp Polmization ' OOy RT -aF V. Physical significance of dimensionless parameters PO-ftAubOM PivatWnbWhn Denison Rcd SfgVncm-c A Asec Rai0 rta~petaofta I S. Comparison of experimental deposit profile to simulation results. T 2nFDctIU to the ienfic reinstam~ coroaritrtin of the deposititV b•Iok Rato of ihe sodid cn•riontmag Spcies to the ItK 70 Mlectroh o 70 Electrochemnical Society Proceedings Volume 99-9 .. s mi pln ar to ttu t fromw . Table 1.LII L 1. Model results are in good agreement with experimental results. k w0 2 D D2 Chma bulk irto the tm 9ct• the !•of Also widud the itnch. Wilson. the diffusion-limit is determined directly from the computed flux of cupric ions to the wafer under the assumption of complete surface consumption. which acts as a weir. The goal of the present study is to predict variations in the limiting current density for different electrolyte volumetric flow rates and wafer (cathode) rotation rates. Figure 1 shows salient chamber features using a simplified two-dimensional chamber cross section. 59901 Steady-state numerical simulations of fluid flow and cupric ion transport within an electrochemical fountain plating system are presented. including one-dimensional. The efficacy of different computational models. At low overpotentials. Inc. The electrolyte exits the chamber over top of the chamber wall. and three-dimensional approximations. which is called the limiting current. at high overpotentials the copper plating rate is determined directly from the flux of cupric ions to the wafer surface. determines the maximum ionic current that can be passed through the electrolyte to the wafer. MT. Kalispell. Electrodeposition of copper is typically determined from such quantities as the surface overpotential and the cupric ion concentration at the wafer surface. Electrical contact is typically made at the outer edge of the wafer to a thin copper seed layer. McHugh. consisting of a discrete asymmetric pattern of circular holes. in turn. However. G. the current increases with increases in the overpotential. below a disk shaped anode situated at the bottom of the chamber. The fluid enters the bottom of the chamber near the centerline. The flow travels around the anode and passes up through a planar diffuser plate.R. Reserve Drive. which acts as the cathode. the electrolyte impinges upon a rotating wafer substrate. 655 W. Specifically. A limiting current can be observed as the cupric ion concentration at Electrochemical Society Proceedings Volume 99-9 71 .J. twodimensional axisymmetric. L. The plating chamber is bounded by cylindrical vertical walls through which an electrolyte solution flows upward.Numerical Simulations of Fluid Flow and Mass Transfer within an Electrochemical Copper Deposition Chamber P. Chen Semitool. are assessed via comparisons of numerical predictions with experimental data. This maximum flux. Above the diffuser plate. INTRODUCTION Steady-state numerical simulations of fluid flow and cupric ion transport within a commercial electrochemical copper deposition chamber are presented. 6). and 2) Assess the efficacy of these different computational models via comparisons with experimental data.e. the mass transfer limit is imposed by fixing the cupric ion concentration on the exposed plating surface to zero. and the flow conditions. In simulating the experiments. A one-dimensional advection-diffusion equation is solved for the cupric ion transport. Although operation at the limiting current is not desired. COMPUTATIONAL MODELS The electrolyte fluid flow is assumed to be well represented by the incompressible Navier-Stokes equations (1. Continuity: I du r. and three-dimensional models. Limiting current values are determined from the current plateau. where the current remains constant even when the potential is increased. The approximations made in developing the one-dimensional model are well known (1-4). Axisymmetric two-dimensional and three-dimensional models were constructed using a commercial computational fluid dynamics (CFD) package developed by CFD Research Corporation (5). two-dimensional axisymmetric. Limiting current values are strongly dependent upon the electrolyte bath. it is important to understand how to modify conditions to yield a desired limiting current value. A potential sweep technique is used to gather electrical current versus potential data. the diffuser plate is assumed to yield a uniform inflow. The incompressible Navier-Stokes equations are solved along with a scalar transport equation for the cupric ion species mass fraction. the hardware design. good within wafer plating uniformity and good feature fill characteristics may require operating at a certain fraction of limiting current. For example. The model assessment experiments use test wafers with different symmetric areas (i. circles or rings) exposed to copper plating. while the three-dimensional model enables representation of the discrete asymmetric hole pattern of the diffuser plate. the diffusion limit is imposed and so calculation of the electric field is avoided. The one-dimensional model formulation assumes a uniform potential flow impinging upon an infinite rotating disk. v 1 &o r 60 'iv a Momentum: 72 Electrochemical Society Proceedings Volume 99-9 . The two goals of this study are: 1) Predict variations in the limiting current density for different electrolyte volumetric flows and wafer rotation rates using onedimensional. In this manner.the wafer surface approaches zero. which in cylindrical coordinates can be expressed as (6). In two-dimensions. [5]9 ~ 1 0 ( [6] An additional time-dependent advection-diffusion equation is used to represent the mass transport of the cupric ions.-VZ D c[ E )F V = 7] where D is the diffusion coefficient and J is the cupric ion mass fraction defined as the ratio of the cupric ion concentration to the bulk concentration. Assumed values for transport properties and important constants appearing in Equations [1] through [8] are listed in Table (1). while the value for D is [8] Electrochemical Society Proceedings Volume 99-9 73 . and z are the radial and axial coordinate variables. and bath Cu concentration all influence iD. The convective operator is given by. c/cb . The expression for the diffusion-limited current density at the wafer surface is then given by.vo. respectively. wafer rotation rate.o=O. Note that the values for c. C+(V. The mass transfer limit is imposed by fixing the cupric ion concentration at the electrolyte-electrode interface to zero.r-) + _-rIW + 02 vo d V-V= VO r~ d U. bath transport properties. r. angular. while v is the kinematic viscosity. while 0 represents the angular coordinate. r a-6.and w are the radial. This equation can be expressed as. and axial velocities.Pq+ V2U r2 r r r7 P op Lr Ifj r 2 + VV2w r2 2 & r [2] r2 0u [4] •-+(V-V)w=p- where u."01 a V -" --. iD = nFD(Vc '-n) Effects such as flow rate. and v are measured directly from the bath. c. d J and the Laplacian operator is defined as. V2 6] 1 2 -. The pressure and density are given by pandp. iL = 1.8). With this simplification. in all formulations discussed here. only the flow region above the diffuser plate represented in Figure (1) is considered.Table 1. Assumed values for model parameters.4 72e-6 m2/sec estimated by applying the Levich equation to a series of rotating disk electrode experiments that use the same electrolyte bath (7. model estimates of the diffusion-limited current density (iD) are adjusted to account for the effects of charge migration (8).Ip(c . as proposed by Hannah (2) and Tifford and Chu (3). The similarity variables used here are. The equations defined in this section are applied to simplified representations of a commercial electrochemical copper deposition chamber. u = rQcF(O) v = rOG(4) w = F•-cH(Of Po=p. 0 moles/liter Cu ion diffusivity D 4.e. One-Dimensional Model A steady-state. Specifically. The acid plating bath used here requires a correction factor of roughly 1.73e-10 m2/sec Faraday's constant F 96485 C/equivalent Electron/ion discharge (valence) n 2 Electrolyte kinematic viscosity v 1.iD) (8).2868 moles/liter Wall Cu concentration C0 0. In order to better compare with experimental limiting current density (iL) data. Model ParameterName Symbol Value Bulk Cu concentration cb 0.05 (i. the exit plane of the diffuser plate is the inlet for the computational domain. one-dimensional model is constructed from Equations [1] through [8] for an infinite rotating disk in a free stream.1)2 &r c = 1+ a a 2 [9] -pVQcP(4) =Rotationparameter 74 Electrochemical Society Proceedings Volume 99-9 .05. is a measure of the relative importance of the forced flow compared to disk rotation..F (0c" - [10] 2FG + HG'. Electrochemical Society Proceedings Volume 99-9 75 . The second choice corresponds to a stronger impinging flow and generally results in a larger value for the diffusion-limited current density unless wafer rotation effects dominate.1 -G2 -V+-F-H. . This latter requirement means that as 4 -+ oo. Substitution of the above similarity variables into Equations [1] through [4]. The concentration gradient at the wall is obtained by solving a one-dimensional form of Equation [7].2 is the rotation rate and a is a constant that defines the potential solution corresponding to the free stream impinging upon the disk (i. 2F+ H' =0 F2 + PH _-. is a characteristic velocity related to the volumetric flow and d is a characteristic length. iD = nFD =0!I)z 0 = nFDCbo 1 [12 where is . u = ar and w = -az with the disk centered at r = z = 0). the radial velocity component at large distances from the disk is determined by the potential solution. a/l. However. which require F=H=P=O and G=I at z=O. Two possible choices were examined: 1) The distance from the wafer to the inlet plane (roughly 29mm). is the diffusion layer thickness. In this work.e..G" = 0 P + HH' .H" = 0 No-slip boundary conditions apply on the surface of the disk. The boundary-value problem defined above is solved for a specified value of c using a "shooting" method (9) implemented within the MATLAB computing environment (10).a/(92c) = (c . Using the previously defined similarity variables and introducing. d. u = rf2cF -) ar.. where U. was set equal to the imposed volumetric flow rate divided by the crosssectional area of a 200mm-wafer. The characteristic length. U. yields the following system of ordinary differential equations. a can be set to U. or F -. The normal velocity at large distances from the disk is not equal to the potential flow value because of the non-vanishing rotating disk contribution.-• = . was selected from the geometry of the chamber. the diffusion-limited current density is computed from.The rotation parameter./d.l)/c. and 2) The distance between the wafer and the top of the outer wall (roughly 9mm). In one-dimension. The mass transfer limit is imposed by fixing the Cu concentration to zero along the exposed surface of the wafer. the diffuser plate is assumed to yield a uniform inflow profile across the area of the diffuser containing the holes.0O -c Cb . while first-order accurate upwind discretization is used for advection terms. and computational mesh are described in Figure (2). The flow is allowed to exit at the outer wall radius between the top of the outer wall and the wafer. The blocked region at the edge of the wafer is used to approximate the effects of hardware associated with the electrical contact. 76 Electrochemical Society Proceedings Volunme 99-9 . density can be computed from. two-dimensional model is constructed from Equations [1] through [7] assuming rotational symmetry. The two-dimensional model equations are solved using a commercial computational fluid dynamics (CFD) package called CFD-ACE. t =OCct [13] Equation (7) can be reduced to the following one-dimensional equation.Co .000 computational cells are used to resolve this geometry with a majority of these cells located in the thin diffusion layer that resides near the wafer surface (the first cell is less than 1pmn from the wafer). In the two-dimensional case. which has a radius of roughly 90mm. [14] In steady state. boundary conditions.dr* Sc iV2 where Sc = v/D is the Schmidt number. a product of CFD Research Corporation (5). Nearly 15. The model geometry. the diffusion-limited current 17 - 'D = nFD(? = nFDCb nFDCb C1Jesc di~d~ [15] Two-Dimensional. Axisymmetric Model A steady-state. Second-order accurate discretization is employed for diffusion terms. -. The wafer is located 29mm above the inlet plane and the radius of the outer wall is roughly 8mm beyond the edge of the 200mm-wafer and extends to an elevation 20mm above the inlet plane. It is further assumed that the imposed volumetric flow passes evenly through the set of diffuser holes. Electrochemical Society Proceedings Volume 99-9 77 .000 computational cells are employed to represent the three-dimensional geometry. A drawback in using this inlet condition is the inability to resolve the velocity distribution exiting each hole. To first order. In the results section below. A significant advantage in this approach. The horizontal plane displayed in Figure (3) represents the top of the diffuiser or the flow inlet. However. This approximate cross-sectional area together with the fixed volumetric flow through the hole are used to set the inlet velocity boundary condition for each of the hole cells.000 computational cells needed to resolve the two-dimensional geometry. In order to avoid constructing a structured computational mesh that includes mesh boundaries for each individual hole. nearly 300. The two-dimensional cross section of this three-dimensional model is the same as the twodimensional model described above. a simple radial mesh is employed. The right vertical plane presents axial velocity contours. in addition to its simplicity. with a diameter roughly 15% smaller than the hole diameter. is the ability to quickly change diffuser hole patterns in the model without remeshing. simulation data are presented with the hole diameter set to the actual diffuser hole size (case A) and with the hole diameter reduced by 15% (case B). CFD-ACE software (5) is again used to solve the steady-state form of Equations [1] through [7] for the geometry shown in Figure (3). The left vertical plane illustrates the radial and axial mesh distribution. in contrast to the 15. High resolution simulations of the flow through a single hole were performed to better understand the consequences of this assumption. Figure (4) illustrates the hole pattern of the diffuser plate as approximated by the three-dimensional model. this effect can be approximated by imposing uniform inlet velocities across holes with a 15% smaller diameter. axisymmetric case. An advantage of the three-dimensional model is the more accurate representation of the asymmetric hole pattern of the diffuser plate compared with the two-dimensional. The darker regions reflect high axial velocities and so indicate diffuser hole locations. which depict the flow jets passing through the diffuser holes. These simulations illustrate the constriction of the flow through a vena contracta. The set of cells within each hole are used to approximate the crosssectional area of the hole. which causes the inlet velocity to increase by approximately 38% for a fixed mass flow rate. Inlet boundary conditions approximating this condition are imposed by first identifying the computational cells that reside within each diffuser hole.Three Dimensional Model In the three-dimensional case. 5gpm) yield the most significant variations between the different model predictions.Ogpm or 5. a five-fold increase in the wafer rotation rate from 20rpm to 100rpm roughly doubles it In contrast. Table (2) lists the specific operating conditions studied and presents numerical estimates for the average limiting current density (iL)across the surface of the wafer. while the volumetric flow was set to either 1.5gpm.90 46.59 A set of limiting current experiments are conducted to assess the accuracy of the different models at different operating conditions.Ogpm 17. Table 2.5gpm to lgpm) at a fixed rotation rate (20rpm) is less pronounced.5gpm 5. the reduction in iL due to a five-fold reduction in flow rate (from 5.65 48.5gpm.20 65.23 34. iL 2-D Model. Limiting current values are determined from the current plateau. iL 2 2 2 (mA/cm ) (mA/cm ) (mA/cm ) Conditions Rotation Flow d=29mm d=9mm A B Orpm 20rpm 50rpm 100rpm 20rpm 5.51 29. This is especially true in the case of the one-dimensional model.25 29. the plating area of the test wafers is restricted to either a 2cm radius circle or a ring with an inner radius of 3cm and an outer radius of 4cm.78 28. The first two flow conditions (Orpm and 20rpm at 5.60 38. iL 3-D Model. In this manner.41 29. This trend illustrates the effectiveness of wafer rotation in enhancing mass transfer.80 29.RESULTS The computational models described previously are exercised over a range of flow operating conditions. Once rotational flow effects dominate impinging flow effects. The potential sweep equipment could deliver no more than 2A.23 66.5gpm 5.62 45. Flow 1-D Model. Limiting current density values gleaned from 78 Electrochemical Society Proceedings Volume 99-9 . which is not sufficient to reach limiting current over the entire surface of the wafer for the flow conditions of interest. wafer rotation rates are varied between Orpm and 100rpm.73 30.5gpm 5. Similar data was also obtained for the test wafer with a 3-4cm ring exposed to plating.5gpm test condition listed in Table (2). where the current remains constant even when the potential is increased. Figure (5) presents sample experimental current versus potential data for the test wafer with a 2cm circle exposed to plating. Consequently.92 35.5gpm 1.11 29.10 46. the different model predictions are similar. current density can be driven to limiting current values for all but the lOOrpm/5.07 65. The experimental procedure consists of using a potential sweep technique to gather electrical current versus potential data.47 47.48 29. Model predictions of average limiting current density across wafer surface.14 31. With the flow rate fixed at 5. which shows a high sensitivity to assumptions made regarding the impinging free-stream flow.10 29.94 66. with the decrease ranging between 3-18%. Specifically.22 18.78 64. 2-D Model 3-D Model (A) 3-D Model (B) Rotation Flow Data iL % diff.50 9.9 20rpm 5. In contrast. Two-dimensional.5gpm 46.80 10.5gpm 54. Rotation Flow Data iL % diff.40 5.5gpm 73.50 11.6 47.0 46. 35.8 73. 2-D Model 3-D Model (A) iL % diff. two- Electrochemical Society Proceedings Volume 99-9 79 . Table (3) compares the model predictions of iL with experimental values for the 2cm circle test wafer.5gpm >90 103. axisymmetric and three-dimensional simulations are conducted that mimic the experiments by forcing the wafer Cu concentration to zero across the same exposed areas. The impinging jet flows near the center of the wafer enhance the mass transfer in this region.02 15.14 7. a 50rpm spin rate appreciably increases the limiting current density.0 48.8 48.Table 3.40 25.6 30. At this radial location.00 8. Flow Conditions Exp.5gpm 49. the limiting current density does not change drastically as the wafer rotation varies between Orpm and 50rpm. The 2cm-circle data shows that near the center of the wafer.07 7. while sensitivity to the volumetric flow is lessened. iL % diff. 44.9 38.29 22.57 12. iL % diff. The one-dimensional model is independent of radial variations.17 15.0gpm 51. As such.5 100rpm 5. no specific estimate for iL is given for the 100rpm spin because the maximum current value was encountered before limiting current was reached.48 35.47 14.6 67.19 9.21 8.77 9.9 Orpm 5.23 10.9 102.40 10.1 48.1 30.4 45.6 Table 4.17 12. this data does not reflect limiting current density values that would be observed at that radial location if the entire wafer surface was exposed to plating.65 33. a 100rpm spin rate drastically increases the limiting current density. However.8 67. The 3-4cm ring limiting current data is substantially higher than the 2cm circle data due to the transport of fresh electrolyte from the inner 3cm of the wafer across the ring.47 7.0 45.5gpm 52.5 30.2 29.5 46. and so it is not considered here.46 60.58 12.Ogpm 32.51 44.2 66. The two-dimensional model shows poor agreement with the data for the no rotation case.4 43.00 -100rpm 5.8 20rpm 1. iL % diff. as wafer rotation effects dominate.5 50rpm 5.5 20rpm 5.8 50rpm 5.07 17. and the two-dimensional model is incapable of capturing these effects. 3-D Model (B) Flow Conditions Exp. Note that in the case of the 3-4cm ring.7 19.2 72.8 the experiments are accumulated in Tables (3) and (4).8 34.5 30.2 73.9 Orpm 5.04 -102.96 10. Comparison of model predictions and experimental data for limiting current density (mA/cm 2 ) across a centered circle with a radius of 2cm.1 47. while a five-fold reduction in volumetric flow appreciably reduces the limiting current density.95 -46. Comparison of model predictions and experimental data for limiting current density (mA/cm 2) across a centered 3-4cm ring.0 20rpm 1.5gpm 82.5gpm 56. and three-dimensional model predictions of the average limiting current density. iD is strongly influenced by impinging jet flows. Consequently. SUMMARY Steady-state numerical simulations of diffusion-limited mass transfer within an electrochemical plating chamber were presented for a set wafer rotation rates and volumetric flow rates. In this flow regime. The base three-dimensional model (case A) agreement for the no rotation case is improved but still poor. By reducing the hole diameters by 15%. the impinging flow effects are less pronounced than at the center of the wafer. Efforts to further improve the resolution of the three-dimensional jet flows using higher-order advection discretization schemes were largely unsuccessful due to algorithm convergence difficulties and high computational cost.dimensional model agreement improves measurably. At higher volumetric flow rates (i. The modified three-dimensional model (case B) again demonstrates much better agreement. a small (say 10%) increase in the assumed value of the diffusion coefficient would appreciably improve model accuracy. Three-dimensional model (case B) predictions of limiting current were within 10% of experimental values for the 2cm circle tests and within roughly 15% for the 3-4cm ring tests. but the computational cost was high. Table (4) compares the model predictions of iL with experimental values corresponding to test wafers with a 3-4cm ring exposed to plating.5gpm) and low wafer rotation rates (less than roughly 20rpm). Again. As the wafer 80 Electrochemical Society Proceedings Volume 99-9 . Both simulation and experiment suggested that mass transfer is enhanced more by higher wafer rotation rates than by increased volumetric flow rates. which pass through the asymmetric array of diffuser holes. which improves agreement for this condition without adversely affecting agreement at the higher wafer rotation rates. a trend also seen in Table (3) for the 2cm circle data. Future efforts will attempt to overcome these difficulties via algorithm parameter adjustments and/or grid modifications. with no wafer rotation. there is little difference between the two. Model predictions are consistently below the experimental limiting current values. three-dimensional models were used to better match experimental data. In fact. The modified three-dimensional model (case B) demonstrates significantly better agreement with the experimental data with no wafer rotation. 5. it is anticipated that in cases where predictions are within 15% of data. the flow jets are strengthened.e. Predictions of average limiting current density were given for a 200mm-wafer and for specially prepared wafers with either a 2cm circle or a 3-4cm ring exposed to plating. Agreement using the two-dimensional. At this radial location. axisymmetric model was poor. the two-dimensional model and the base three-dimensional model (case A) do not accurately predict the mass transfer effects of the impinging flow. as evidenced by the improved agreement at the 20rpm flow condition. with the wafer rotating at 20rpm or faster. ... F. 4. 1984. 7.O. NY. 8. Englewood Cliffs. A. von Karman. T. "On the Flow Around a Rotating Disk in a Uniform Stream.e. Electrochemical Society Proceedings Volume 99-9 81 .R. John Wiley & Sons. MA.. Applied Numerical Analysis. 1960. Hannah..g. Hunstville. 3. NACA-TM-1092. 5.F.N. and Wheatley.S. REFERENCES 1. 3rd ed. McGraw Hill. Rotating Wafer (Cathode) It t I T Tt Diffuser Si t I Flow Anode .. Gerald. L. Newman. The one-dimensional model can also be used in this flow regime for useful qualitative estimates of average diffusion-limited current densities. Cross-sectional schematic illustrating components of copper plating chamber. D. Reading. Jgpm). Inc.. Boundary Layer Theory. McGraw-Hill. A. AddisonWesley. the simpler two-dimensional. Schlichting.M.T. H. CFD-ACE Version 5. 9. 1992. 1991. White. Prentice Hall. 1986. 1980. Electrochemical Systems. P. MATLAB High-Performance Numeric Computation and Visualization Software. 6. "Forced Flow Against a Rotating Disk. Bard." British ARC R&M 2772 (1952). Fluid Mechanics.0. 284 (1952). and Faulkner. the effects of the impinging jet flows were lessened. Sci. NY..J." J Aero. NJ. 2.M... and Chu S. 19. 1998. C. CFD Research Corporation. AL. 2nd ed. 1921. axisymmetric model yielded limiting current predictions that were within 13% of the experimental values. The MathWorks. greater than 20rpm at 5. J. ElectrochemicalMethods. MA. In these flow regimes. Tifford. Natick.5gpm) or the volumetric flow rate decreased (i.Flow Fig 1. User's Guide.rotation rate increased (e. 10. .5gpm/2Orpm). •. . . 2-D model description (5.03U Figure 2.. axial velocity contours and mesh.01 -0.. w Figure 4. . .02 -0. Flow inlet plane of the threedimensional model. . 5 gpm . . 0 . orprn. Figure 5. .. SO 04 o 1... . .Sgpm/2Orpm..4.SimplifIed contact geometry RottLing Water 0W04 0.. . . Three-dimensional model description with axial velocity contours (5.S. . .. .. 82 Electrochemical Society Proceedings Volume 99-9 . nlorm55p Figure 3. !.) 2oto.... Experimental limiting current density data for test wafer with a 2cm circle exposed to plating. at the electrolyte/seed layer interface there is a sudden drop in potential.O.J. The current lines are closely spaced near the contact terminal both on the electrolyte side and within the seed layer..1000 River Road. This effectively means that the local current density will be high next to the contact terminal where the current lines are closely spaced. The tool is a cup plater with elements that shape the potential field and with a peripheral semi-continuous terminal to contact the wafer. Contolinil. Comparison of experimental plated thickness profiles determined at different time intervals with simulated profiles show reasonably good agreement but also suggest that phenomena pertaining to mass transport of additives and cupric ion may be important. A parametric study has been performed and the effect of the key dimensionless groups on the wafer scale nonuniformity identified. Waltonb. Burlington. E. OR 97070 ABSTRACT We describe modeling results of a plating tool that is currently used in Damascene electroplating of on-chip interconnects.T. The current lines in the cell are shown along with the corresponding potential drop. higher plating rates. N. Box 218. W. on one side there is the charge transfer and concentration overpotential while on the other side is the metal potential. G. Centerra Resource Park. Reid'. VT 05452 CNovellus Systems. R. Wilsonville. INTRODUCTION In recent applications of electroplating such as Damascene plating of on-chip interconnects (1). along with trends toward larger wafers. Dukovica. J. Watson Research CenterP. because of the need for shrinking electronic devices.Y. Within the electrolyte (point C-D) the potential drop is linear. and stringent requirements on thickness uniformity have increased the need to control the "terminal effect". J. Patton' "IBM. J. Finally there is a non-linear drop through the seed layer (A-B). Essex Junction. there is a tendency to use thinner conductive seed layers. Deligianni". 95th Ave. E. This work illustrates the importance of modeling predictive capability in developing. simulations of tool scale-up to 300 mm wafers are shown.MODEL OF WAFER THICKNESS UNIFORMITY IN AN ELECTROPLATING TOOL H. which is caused by the high ohmic drop within the seed layer and the plated deposit. is a registered trademark of FLUENT Inc. 10 Cavendish Court. 26277 S. Yorktown Heights. SFIDAP Lebanon. scaling-up and improving plating tools. The high active area density in Damascene plating. Based on this study. 10598 bIBM Microelectronics. 0. PortlandTechnology Center. The terminal effect. New Hamoshire 03766 Electrochemical Society Proceedings Volume 99-9 83 . results in nonuniform current distribution in the vicinity of the electrical contacts. Figure 1 is a cross-section of an electrolytic cell with a resistive electrode and a terminal for contact at one end of the electrode. Comparison of experimental plated thickness profiles with thickness profile evolution predicted by the model is shown. the ohmic drop within the seed layer. Point contacts result in azimuthal nonuniformity. Vallotton et al. Matlosz et al. they assumed four peripheral low-contact-area terminals and have shown the effect of peripheral point contacts on the thickness distribution of a 200 mm wafer. The numerical method calculates the secondary current distribution and the current distribution within anisotropic resistive electrodes.. Centerra Resource Park. Our solution was to implement an almost continuous peripheral contact terminal and to assume that the system is axisymmetric and that only the radial nonuniformity needs improvement. In applications such as Damascene electroplating where the final plated thickness is usually not more than 1. 84 Electrochemical Society Proceedings Volume 99-9 . 10 Cavendish Court. However. the model assumes only the initial current distribution and does not take into account the effect of the growing deposit. In the 3-D geometry. with four peripheral point contact terminals is shown in (6). (4) compared their numerical simulations with experimental data taken during lead electrodeposition on a Ni-P substrate and found limitations to the applicability of the model that were attributed to mass transfer effects. A time series of a growing deposit. the charge-transfer overpotential at the electrode surface. the thickness near the four point contacts is very high. Equations [3-8] are boundary conditions imposed at the different interfaces: ' FIDAP is a registered trademark of Lebanon. However. Mehdizadeh and Dukovic (5) expanded the theoretical treatment and included mass transport effects in an axisymmetric system as well as a 3-D geometry. In this paper we describe a model of a cup plater with a peripheral continuous contact and "passive" elements that shape the potential field. Tool scale-up for 300 mm wafers was also simulated and compared with results from a dimensionless analysis. and the transient effect of the growing metal film as it plates up (treated as a series of pseudo-steady time steps). (3) developed a theoretical model that predicts the current distribution in the presence of Butler-Volmer kinetics. Initially. the nonuniformity in the vicinity of the contacts becomes appreciably better as the plated thickness builds up. New Hamoshire 03766 FLUENT Inc. the current distribution within a resistive electrode and the effect of the growing metal.Kawamoto (2) developed a two-dimensional model that is based on a double iterative boundary element method. whereas between the contacts is very low. MATHEMATICAL MODEL The following system of equations was solved: V 0E 2 =0 =0 potential in the electrolyte [1] potential in the seed layer [2] v (gvoA) where g is the combined "sheet conductance" of the seed layer and the electrodeposit and is the reciprocal of the sheet resistance (R0 ). The model takes into account the ohmic drop in the electrolyte. azimuthal nonuniformity can be a problem.um. n = on wafer surface [13] where i* is. Typical values of the constants that appear in the equations above are given in Table 1.. constant and allow the sheet conductance to increase over time. The equations above are nondimensionalized using the following dimensionless variables: * T9 x -- -.OE•= OA on anode [3] t.. Instead of treating the thin-film phase as a growing domain. Centerra Resource Park. we artificially hold its thickness. on wafer surface [12] - 17" . [1-8] in dimensionless form yields: V2% = 0 in electrolyte [10] v7 ..a. = -.exp[. R - E)} on electrolyte/wafer interface [5] OM =0 VqE * n = on electrical contact [6] on electrolyte symmetry lines [7] on seed. Electrochemical Society Proceedings Volume 99-9 85 .tVom-n on electrolyte/wafer interface [41 "= I"{exp[ aF(Om - ].layer symmetry lines [8] 0 VqM . 10 Cavendish Court. New Hampshire 03766 FLUENT Inc.VOE-n = 1. FIDAP is a registered trademark of Lebanon. t. Recasting Eq.[9] r.G v* O = 0 in metal [11] rw G * n = z. n=0 where OE and Om are the potentials in the electrolyte phase and the seed layer. reflecting deposit growth. [10. When applying transformation [18] to Eq. Secondly.[12].14. We assume that the stretching parameters are: Pq=to Z.[exp(-!.12.=wsh [18] where z.[12] becomes Eq.[20] FLUENT Inc. Centerra Resource Park. we artificially hold its thickness t constant and allow the sheet conductance to increase over time.. pG a "•-GFIDAP is a registered trademark of Lebanon.. and 15].WaT WaL(l + a. New Hamoshire 03766 " n* = i• Eq.11. we kept the metal thickness constant through out the plating process and instead of increasing the thickness of the metal layer at every time step.h is the thickness of seed layer after stretching and t.c for the axisymmetric case [19] Eq.)-exp-Wa Wa T ep- ' [4 [14] on the anode [15] [= =0 at the contact terminal [16] where G the dimensionless sheet conductance is.. these become as follows: G prGa pqGK' G = pGa qG0 . Initially. reflecting deposit growth.-. 86 Electrochemical Society Proceedings Volume 99-9 . we artificially expanded the z-axis and transformed the seed-layer domain by stretching in the vertical dimension (to facilitate meshing). 10 Cavendish Court.[19] yield the values of G in Eq. These transformations require the use of anisotropic "sheet conductance" properties in the code. is the initial seed layer thickness. G= at art [17] Before solving the problem we made some scaling manipulations to avoid dealing with the complication of having a growing finite element mesh and a metal film that was thin and difficult to mesh.13. . 15..[201 for Gj.334cm radius of peripheral contact RT a. RESULTS Table 1.. Initially...Go which is the initial dimensionless sheet conductance. the boundary value problem was solved subject to the nonlinear boundary conditions Eq. Growth of the deposit was then simulated by using the converged solution of the prior step j.3 . At each time step. Centerra Resource Park. then calculated the sheet conductance value of the next time step. and re-run FIDAPI until the desired sheet conductance value tj is reached. 20mA/cm 2 9..METHOD OF SOLUTION A commercial code called FIDAP' was customized and used to solve the set of equations. The geometry was left unchanged throughout the simulation. Stepping through time stops when a certain value of the sheet conductance Gj is reached that corresponds to the plated thickness of interest. according to the formula: Gj=Gj_. n [21] AGj is the plated thickness increment at each time step. New Hamoshire 03766 Electrochemical Society Proceedings Volume 99-9 87 .. + i+-U. Lebanon.56cm 0. The Newton-Raphson scheme as well as the update of the anode-potential boundary condition are incorporated into subroutines that are attached to the executable module. The executable module is called at each time step by the AIX shell script as described above. This part was handled by an AIX shell script that ran FIDAP' for G.2.4mA/cm 2 SFIDAP is a registered trademark of FLUENT Inc.6 io = 0. 10 Cavendish Court. Typical values for copper plating on a 200 mm wafer Bath conductivity Average current density Wafer radius exposed in electrolyte Contact area Cathodic Tafel slope K = 0.A(j j= 1.. The value of the conductance at the next time step is determined from the conductance and the normalized dimensionless current densities of the previous time step.F = 50mV Exponent in kinetic expression Exchange current density y = 0. the local current density along the wafer is integrated and the average current density is made to equal the applied current density by doing a Newton-Raphson iteration on the anode potential.52ohm-1cm-' i = 7. Curve A corresponds to a final thickness of 2100A with a a of 7%.25 u = 5xlO'ohm-'cmZmeh = 1cm Experiments with variable thickness seed layers in a cup plater have shown that the edge of the wafer had consistently thicker deposits than the wafer center. 2 are the equations that correspond to the secondary current distribution with an "infinitely thick" seed layer on the wafer surface. 10 Cavendish Court. The wafer also rotates during electroplating. Centerra Resource Park.3 . The current density is higher at the center of the wafer than at the edge.= 0. we undertook an effort to design shields or "passive" elements that shape the potential field and yield a current or thickness distribution that is almost uniform. We treated the problem as axisymmetric with axis of symmetry the centerline of the cup and thus only half of the cup was modeled. Overshielding of the wafer edge occurs under secondary current distribution conditions.um of plated thickness is overshielded and resembles the thickness distribution of an "infinitely thick" seed layer (Figure 3).. curve D corresponds to a final thickness of 7200A with a a of 3%. Corresponding equations within the electrolyte. In this paper though. 88 Electrochemical Society Proceedings Volume 99-9 . Figure 5 is a transient normalized thickness distribution of the plated fim along half of the wafer (center-to edge) at different plated thicknesses onto a IOOOA initial seed layer. This was done with modeling of the secondary current distribution and verified by experimentation. New Hamoshire 03766 FLUENT Inc. ' FIDAP is a registered trademark of Lebanon. The cup plater contains shields which are located in a region extending from the peripheral edge of the wafer to the side and upper surface of the anode. Figure 3 shows the normalized current distribution on an "infinitely thick" seed layer. next to the shields and exits as an overflow at the outlet. Figure 2 shows a schematic of a cup plater. The further the point from the wafer center. Also shown in Fig. Typically. The calculation of the a of the thickness distribution was done by taking 9 points along the wafer and assuming a different weight for each of these points. curve C corresponds to a final thickness of 5200A with a a of 4%. we are not concerned with fluid flow and mass transport in the electrolytic cell. the higher the weight. and curve F corresponds to a final thickness of 2.un with a a of 1%. flows around the anode. the wafer. curve E corresponds to a final thickness of 9600A with a a of 2%. It is interesting to note that even though the thickness distributions at the initial stages of plating are very nonuniform. Figure 4 is a schematic of a cup plater that includes the case of the resistive electrode. the thickness distribution at 2. electrolyte enters at the inlet. at the anode and wafer interface and within the seed layer and plated film are shown. As a result.Ratio of anodic/cathodic transfer coefficients 2--= 3 Wagner number of linear kinetics Cathodic transfer coefficient over n Seed layer conductivity Seed layer domain stretching in z direction WaL = 1. We assumed a weight of one for the center point and a weight of 72 for the point close to the edge. Curve B corresponds to a final thickness of 3500A with a a of 6%. 60 [23] [4 [24] where the Wagner number for Tafel kinetics is defined as follows: WaT = acFirw RTK Based on this dimensionless analysis.Figure 6 is a transient normalized thickness distribution of the plated film onto a 104A initial seed layer. For example. and War. The agreement is fairly good at the intial stages of growth (800A) and at the final profiles (i. When the film has plated to a thickness of 2#m it is still quite nonuniform with a a of 6% Figure 7 is a comparison of experimental thickness profiles (points) determined at different time intervals as copper was deposited onto a 500A seed layer to a final thickness of 2pum with predicted (solid curves) profiles by the model. All the dimensions in the cup plater were scaled-up 1. It was determined that the nonuniformity depends upon the initial sheet conductance to the -0. This is thought to be attributed to mass transport effects of plating solution additives that may be playing an important role.70 power ( N. Electrochemical Society Proceedings Volume 99-9 89 .5 times. an additive that inhibits the copper electrodeposition reaction may diffuse at a faster rate at the wafer center than at the wafer edge.[251 means that the nonuniformity of the 300mm wafers is expected to be worse than the nonuniformity of the 200 mm wafers by a factor of 2. Figure 8 shows the effect of the initial seed layer conductance on the plated thickness nonuniformity. The effect of the Wagner number is shown in Figure 9.97 prm). G. K Go-4d-°7°Wa4-6). then it turns out that the nonunuformity Njis proportional to the wafer radius raised to the 1. Centerra Resource Park.. In-between the agreement is poor in particular toward the wafer center. lm and 1. If one substitutes the parameters in Go. Wa r) [22] where the nonuniformity N. It was determined that the non uniformity is proportional to the Wagner number to the -0.".60 power (N. Go0G.e.48 power and upon the plated film conductance to the -0. As the film plates-up the nonuniformity improves but not as much as in the case of Figure 5. 10 Cavendish Court. is defined as the maximum dimensionless thickness minus one (tax . The initial film of 1300A is very nonuniform with a of 85%. x Go 0. then the current distribution and the overall non uniformity depend upon 4 dimensionless groups: N. The result of the ' FIDAP is a registered trademark of Lebanon. Thus the nonuniformity depends as follows upon the main dimensionless parameters: 48 70 N. it was attempted to scale-up the cup plater for 300 mm wafers. G. wa0. x Go-°' 48G6-'° 70 ). It was determined that if one solves the system of equations using the parameters in Tablel.1). New Hampshire 03766 FLUENT Inc. The higher the Wagner number the better the non uniformity because the ohmic effects become less important at high Wagner numbers. =f(geometry.78 power: Nt K r 17 [25] Applying Eq. Valotton. Lebanon. May 2-7. A simulated scale-up of the cup plater for 300 mm wafers predicts that the nonuniformity for the 300 mm wafers will be worse than for the 200 mm wafers by a factor of about 2. 5. Matlosz and D. the Wagner number of Tafel kinetics. 23.Soc. H. CONCLUSIONS A model of a cup plater is described that takes into account the ohmic drop in the electrolyte. Deligianni. P. 0. 10 Cavendish Court. Apple.. It is shown how all these transformations affect the resulting equations and that one can solve for G which is the dimensionless sheet conductance of the growing film. Electrochem. J. Apple. and S. Electrochem. 1993.O. and H. 567 (September 1998). Res. Extended Abstracts of the 184th Meeting of the ElectrochemicalSociety. 93-2.C. Additionally. IBM J. J. 4.Kawamoto. M.O. The cup plater has a peripheral contact and adequate shielding and the resulting thickness distribution is one order of magnitude more uniform than a case with point contacts and without shields (5).-H.. H. 2. Uzoh. Deligianni. Abstract No. Landolt. Extended Abstracts of the 195th Meeting of the Electrochemical Society. Dukovic. 752 (1992). Mehdizadeh and J. and finally the transient effect of the growing metal film as it plates up.[25] predicts.. New Hamoshire 03766 90 Electrochemical Society Proceedings Volume 99-9 . M. Landolt. Centerra Resource Park. the seed layer sheet conductance and the ratio of the contact area to the wafer area. The nonuniformity is a strong function of the plated film sheet conductance. Instead of treating the seed layer as a growing domain. A... Horkans. Matlosz. P. 1999. West and D. Mehdizadeh. FIDAP is a registered trademark of FLUENT Inc. Valotton. Andricacos. 927 (1993).Dukovic. Electrochem. 42. J. 1113 (1992). 139. 6. S.-H. J. J. the thickness of the seed-layer domain was artificially increased to facilitate easier meshing. the ohmic drop within the seed layer and the plated film. Experimental verification of the model shows that the agreement is fairly good but that mass transport effects of the plating additives may be playing an important role as well. 22. 210. Develop. 3. C. we artificially hold its thickness constant and allowed the sheet conductance to increase with time. Dukovic. P.simulated relative a of the thickness profiles is shown in Figure 10 and indeed confirms what Eq. REFERENCES 1. J.C. the charge transfer over potential at electrode surface. Top:schematic illustrating the path followed by the current when traveling from the anode D through the electrolyte C into the metal film B./ T ER M. Electrochemical Society Proceedings Volume 99-9 91 . Case of secondary current distributuion with B utler-V oliner kinetics at the wafer s u rfa ce. Schem atic of a cup plater with the corresponding equations for an infinitely thick seed layer. 1". then through the conductive film to the contact terminal A. Contact Terminal Ou I er Wafer surface IlkI} 2OE= 0 potential in the electrolyte Figure 2.^i ABC PC)SITIOCN AL4=NCURRENT PATH Figure 1. Bottom: Qualitative plot of potential drops along the A-B-C-D pathway described above. 20000 40000 r-coordinate . a .00000 FIDAP 7.60000 .telectrocyeiat 92 Electrochemical Society Proceedings Volumne 99-9 . 1.2 mAIcm2 0 .50000 .n in ttie electrolyte potential in thT seed layer S(~-svq equations with0poetilin withinVOM theoetilint adpae the electrolyteathandwfriefcad imaeson seed layer Fgr4.70000 .60 29 Jan 97 17:21:38 Figure 3."Feasibility tool-C.OO00 1. S"n Inv2•b = potential = 0 Ct) 0 U let --KVqSE~fl= gvq5A. 1.30000 c.sigma 4.6% 1.d. Normalized current distribution on an infinitely thick seed layer.100000 .00000 .d.D X-Y CORDINATE PLOT 15.50000 on an infinite seed layer USER-DEF.Shmtcoacupatrwithi layed anlpaedyerarhon the started 92uations SentoyP.90000 . waoee ing ue. H -2.20124 . 6.d.06000 2.211 G .80031 1.Oý.10000 C D R 0.8% 4.21 .2mAitr2 A 1.Feasibility tool-Thickness evolution on a 1000A seed 1.70000 .80031 1.08000 ..04000 F .Oum 6. A 1.1% 3.0.D X-Y coRD--ATE PLOT thik ckess c.00155 .1lum 16% '% 1. G &.52 0.10000 .00155 Figure 5. 2.60 10 Apr 97 10 :29:26 .02000 A A B C D E - 0.20M.1.50000 .3% 3.72 (1.60062 .40093 r-coordinate .d.00000 FIDAP 7.60062 .. 15.2mA/=2 4.4% 2.00000 FIDAP 7. Transient normalized thickness distribution of the plated film onto a 104A seed layer Electrochemical Society Proceedings Volume 99-9 93 . Feasibility 5.90000 . Starting seed layer IO00A.40093 r-coordinate . Transient normalized thickness distribution of the plated film at different time intervals.. 15.28 i..20124 .4sum 32% 0.30000 G.13um 85% 0.0% 2 0' 1.D X-Y CORDINATE PLOT thickness c.9% 5.49% 0.66urm 22% 0.00000 tool-Thickness evolution on a 104A seed USER-DEF.60 Apr 9 186 :11: 17 Figure 6.50000 USER-DEF.35 0. . • . .. =Bll-=•_ = - = - 1134 1424 I -.5 0 0. I0-~~ =0. . average final thickness Figure 8..100. ----- MU - 0 500 *805 A E10._ _' -. N onuniform ity transient for a wafer w ith a perip herald axisym m etric contact for different values of the intial sheet conductance (G o). 94 Electrochemical Society Proceedings Vohlme 99-9 .___. Comparison of experim ental thickness profiles (points) determ ined at different time intervals as copper was deposited onto a 500X seed layer to a final thickness of 2 p m with predicted (solid curves) profiles by the model..00 ___.e. 5522 10300 IN19700 100-1 -0.000 .0 == =1.000 I_ - " '•" _ JR "04358 F-. The agreement is fairly good at the intial stages of grow th (800A4) and at the final profiles (i.r= •1681 o-2721 3469 • 1. lprm and 1.5 1 Radial Position (dimensionless) Figure 7.1 I 10 100 G. 9 7 pmu ).000 __..__________-_.9 -i 44I II 0. - Exp 200mm 15 Model 300mm Ct 10 E . average final thickness Figure 9.0 0) 0I) Ž5 0 100 1000 10000 100000 Average Metal Thickness (Angstrom) Figure 10. L 10 G.1 ~~~~~~ 0. peripheral 25 a) 2 W Nonuniformity transient for a wafer with a axisymmetric contact for different values of the Wagner number (WaT).0.1 I __ L. 20 0 Model 200mm A Cn* . Relative o of the thickness profiles as a function of plated thickness for 200 mm wafers both sim ulated and experimental and model prediction for 300 mm wafers Electrochemiical Society Proceedings Volume 99-9 95 .010. within film contamination level and gap filling capability as bath ages. and Chin H. 2367 Bering Dr. additive consumption rate. We studied consumption of various bath components and showed that they are proportional to total amount of wafers plated. These are the key issues to successfully incorporating Cu electroplating process into IC fabrication. Ting CuTek Research. A Cu plating system with inert anode is more desirable than soluble anode for reasons such as less impurity incorporation. San Jose. The predictability of the consumption rate of various bath components in our system allows replenishment strictly based on the number of wafers processed and amount of electroplating time. However. INTRODUCTION Electroplated Cu is being used by more and more IC fabrication companies for advanced interconnect applications. This paper addresses bath component control. more consistent additive consumption rate. An extended plating experiment was run to test an automatic replenishment method without changing the plating solution. and less preparation time for plating after system idle time.BATH COMPONENT CONTROL AND BATH AGING STUDY FOR A Cu PLATING SYSTEM USING AN INERT ANODE Mei Zhu. monitor and control of electroplating bath for a manufacturing environment is a new challenge. In contrast to typical CVD or PVD processes where the chemicals used for film deposition are well controlled. CA 95131 ABSTRACT Electroplating of copper for ULSI interconnect applications is a new process for semiconductor wafer fabrication. Demetrius Papapanayiotou. Further 96 Electrochemical Society Proceedings Volume 99-9 . Control of plating bath to achieve Cu films with consistent mechanical and electrical properties becomes an important issue for prolonged use of the plating bath. Copper film qualities and gap filling capability of the electroplating bath were also studied as the bath ages. Yi-Fon Lee. Inc. the bath components in an inert anode system are perceived as more difficult to control because both Cu and sulfuric acid need to be balanced. with plating parameters are the same as those used on CuTek's ElectroDep 2000. the consumption rates of organic additives are comparable to that of a soluble anode system.' However. Besides the consumption due to chemical reactions. An extended electroplating experiment was carried out to test our model. The total addition volume is equal to the drag out volume during wafer plating process. traditional inert anode system consumes more additives due to oxidation. and stopped for nights and weekends to simulate the stop-and-go operation. Therefore. Copper films deposited at different ages of the bath were analyzed for their film resistivity and impurity incorporation level. The chemical reactions during electroplating of copper with an inert anode is described by the following equations. Proceedings of 1 5 1h VMIC.more. F. EXPERIMENTAL Extended electroplating experiment was carried out in a bench top setup. Electroplating was done during the normal working hours. H. B. Hohkamnp. Stickney. Cathode: Anode: Cu 2÷ + 2e-21120 -4e Cu 4H+ + 02 (1) (2) ElectroDep2000 from CuTek Research is a novel copper plating system with an inert anode. Ting. Electrochemical Society Proceedings Volume 99-9 97 . This number has been consistent in our system. sulfuric acid. Total ST. Santa Clara. and CI were measured by traditional titration method. and C. Papapanayiotou. tight bath component control is easily achieved with a proprietary replenishing method where both the Cu and sulfuric acid are controlled simultaneously. The novel design of the processing chamber minimizes additive consumption due to oxidation. W. Ko. H. W. Samples from plating tank were taken periodically and analyzed. C. Electroplating bath was sampled and analyzed periodically to check the validity of the model as the bath ages. D. 1998. Patterned wafers were also deposited to assess gap filling capability as the bath ages. The electroplating bath was replenished periodically based on plating time. Andryushchenko. Lin. Cr was replenished with diluted HCI. An automatic bath replenishment method was established based on a consistent consumption and drag out rate of various bath components. Cupric ions. in contrast to common perceptions. another source of consumption is solution loss during wafer processing. which is also called drag out. Therefore. CA. Organic additives were replenished by a commercial additive system. Cu 2+ and acid were balanced by adding a copper salt mixture into the plating bath. the total bath volume is a constant throughout the experiment. This means that during system standby period there was no additive consumption. The concentration of the anti-suppressor in our system is shown in Fig. In our set up. 1 shows the antisuppressor. To verify whether this is also true for trace amount of additive. Blanket copper films were deposited at different stages of the bath to study the film quality as the bath ages. Fig. it is proportional to total plating time and number of wafers plated. 2 displays sulfuric acid concentration in the plating bath over five month The period of plating experiment with an automatic replenishing method. horizontal axis is expressed in terms of "turnovers". Fig. which is within the process window of copper plating. Anti-suppressor is used to refine copper grain size and increase copper's ductility.000 200 mm wafers with 1. RESULTS AND DISCUSSIONS Bath component control Consumption of bulk chemicals is governed by chemical reaction and solution loss. the sheet resistance of the blanket films was monitored as a function of time after deposition to investigate its selfannealing characteristic. In some cases. The fluctuation of sulfuric acid is less than (+/. In film impurities were measured by Secondary Ion Mass Spectrometry (SIMS). and also there was no self-induced decomposition during 98 Electrochemical Society Proceedings Volume 99-9 . Patterned wafer pieces were also deposited at different ages of the bath to investigate gap filling capability of the bath as it ages under automatic bath replenishing method. Scanning Electron Microscope (SEM) was used to examine the cross sections of the patterned samples for gap filling capability. we studied the consumption of additives in a close loop system. Sheet resistance of the copper films was measured using a four point probe station. additive consumption rate is independent with its starting concentration in the plating bath.organic carbons (TOC) was measured by oxidation method. consumption of additives follows the same trend as we have observed with bulk chemicals. 3 as a function of plating time.10%). one turnover is equivalent to plating 3. One turnover is the plating time needed to plate out the Cu content of the plating solution completely and replacing it with new Cu from additions made to the solution.0 um thick Cu film. a component of the plating additives. Further more. Therefore. We were able to control this additive's concentration within its range over a long period of time with automatic addition of a constant amount of additives. concentration versus plating time These data give a straight line which indicate that at constant current. Organic additives were measured by Cyclic Voltammetric Stripping (CVS) method. together with the breakdown products. which means that plating bath has reached steady state.6e14 1.4e18 4. S. Table 1.0e18 4.0e13 Electrochemical Society Proceedings Volume 99-9 99 . Impurity incorporation in (plated) copper films (SIMS data in atoms/cc) Element C N 0 F S CI P Na Mg Li K Fresh bath 2.0e17 4. Furthermore. some of the organic additives form breakdown products which do not affect Cu deposition speed.5e18 9. and 0. S. We have also observed a good match between the experimental data points and simulated curve. Cl. This indicates that additive consumption due to incorporation in the copper film is negligible when compared with additive decomposition during the plating process.0e13 6. Ca.0e18 2.3e15 1. it indicates that the analytical method we used for monitoring organic additives is valid with aged bath.0e15 1.0e16 1.0e18 5. Table 1 is a comparison of impurity levels incorporated into copper films deposited in fresh and aged bath. Impurity incorporation into the Cu film is the key concern for IC manufactures. their incorporation in copper film does not increase with bath aging indicates that accumulation of the breakdown organic molecules does not affect the properties of the Cu film. Both experimental and simulation data are shown in Fig. Numerical simulations were also performed to determine the steady state of total organic carbon level assuming zero additive incorporation in the copper film.0e13 Bath after 10 Turnover 6. The impurity data shows that impurity incorporation is slightly less for films deposited in aged solution than that of fresh solution.0e17 2. Cu films deposited at different stages of the bath were sent out for SIMS analysis for impurities such as Na.0e15 9.6e18 5. C.4e14 7.0e16 1.6e14 8. It shows that the TOC increase levels off after five turnovers. K.system idle time. are measured as total organic carbon (TOC). During electroplating. N and 0 are the major elements in organic additive. 4. Copper film quality as the bath ages.0e17 1.9e17 5. The functional organic additives. The TOC level reaches steady state at long plating times.0e13 7. Since C. This system excels other commercial systems in that it has a predictable chemical consumption rate. the selfannealing curves of these two films are almost identical.5. Therefore. 5 shows sheet resistance of two copper films deposited at different stages of the bath. All three samples have seamless filling of copper film. Using an automatic bath replenishing method. SUMMARY Our five months extended plating experiment demonstrated a superior Cu plating system using an inert anode. consumption of the additives remains the same as the bath ages.3 um trenches with aspect ratio of 5. 6 contains three SEM pictures of the sample deposited in the fresh bath. Furthermore. The electroplating bath in our study has reached its steady state after five turnovers. Films deposited at different stages of aging have the same resistivity and self-annealing characteristic. Therefore. 100 Electrochemical Society Proceedings Volume 99-9 .Resistivity of copper film and its self-annealing characteristic are important aspects of its quality. and 10 turnover with the same process recipe. various bath components were maintained within its process window during the experiment. Fig. 5turnover. Test wafer used for this study is 0. we also showed that gap filling capability remains good as the bath ages in our system. which is equivalent to plating 30. Gap filling capability The gap filling capability of the bath was also tested throughout the experiment.000 wafers. These results indicate that the gap filling capability of the bath remains good as bath ages. Most importantly. all chemical replenishment can be accomplished based on number of wafers processed and total plating time. In film impurity does not increase as the bath ages. This indicates that the accumulation of total organic carbon in our system does not affect copper film qualities. we can conclude that the electroplating bath can be used indefinitely in the CuTek ElectroDep-2000 system. Fig. Further more. The copper film deposited in aged bath has the same sheet resistance as the film deposited in the fresh bath. •- 150 One S100 0 turnover means that the total amount of Cu plated is equal to that in the container. 157 71 t. 1 Consumption rate of the anti-suppressor. it is equivalent to plating 3000 wafers 0 2 4 6 8 10 Plating time (turnover) o M 50 0 Fig. 0. 3 Anti-suppressor concentration over ten turnovers. 9 t. - ° °* *°- 0 5 0 2 6 4 # Turn Over 8 10 Electrochemical Society Proceedings Volume 99-9 101 .Fig. In our case. 2 Sulfuric acid concentration over extended plating.0 7 2 4 CL CL0 P 25 23 Plating time (relative) 2 Fig. Plating Time 250 - 200 8150 r -TOO A (sirnijrion) TOC (experimental) S100 50 0~ 0 2 4 6 8 10 # Turn Over Fig. "0 8 S0 20 S16 E12 ___________ s .Fig. Fresh solution After 15000 wafers After 30. .000 wafers 102 Electrochemical Society Proceedings Volume 99-9 . Total organic carbon (TOC) accumulation. 5. l T° -U-10 1T TO 0 10 20 30 Time (Hr) Fig. 4. 6 SEM pictures of trenches deposited at different stages of the bath.. TOC vs. Resistivity and self-annealing of two copper films. These impurities were measured in order to correlate impurity concentrations to the self-annealing phenomenon. as well as the concentrations of these additives (1). David Pena. Electrochemical Society Proceedings Volume 99-9 103 . Resistivity transients for a blanket film were compared and found to be similar to copper electrodeposited in lines.5) to weeks. These changes have been seen to take anywhere from hours (3. Using deposition parameters to affect self-annealing by either increasing or decreasing the amount of change will offer some understanding as to why certain films are more stable than others. resistivity changes in copper electrodeposited into lines were also measured. Rina Chowdhury. Changes in the degree of self-annealing and the rate of self-annealing were studied by altering the applied deposition current density as well as changing the deposition waveform. 0 and Cl are incorporated in electrodeposited copper films.THE EFFECTS OF PROCESS PARAMETERS ON THE STABILITY OF ELECTRODEPOSITED COPPER FILMS Brett C. These process parameters include applied current density and additives in the bath chemistry. INTRODUCTION The grain growth/recrystallization of copper deposits due to self-annealing of electrodeposited copper is often quantified by measuring changes in resistivity and stress after deposition (2-4). Semiconductor Product Sector Advanced Products Research and Development Laboratory 3501 Ed Bluestein Boulevard Austin. Simpson and Greg Hamilton Motorola. Matthew Herrick. S. Baker. TX 78721 ABSTRACT Deposition process parameters are known to affect the properties of copper films. Blanket copper films deposited at higher current densities were found to change more over time than those deposited at lower current densities. In addition to monitoring the self-annealing of copper films with resistance and stress measurements on blanket films. Films deposited at low current densities contain more impurities than those deposited at high current densities. Eddie Acosta. Cindy R. Our focus in this work was to investigate the effect of current density on the self-annealing behavior of copper. Typical impurities of C. PR and DC.3 micron widths. The radius of curvature was measured before the oxide deposition on each wafer and again after each subsequently deposited layer. A pulse-reverse (PR) and a DC waveform were used for each current density. 20. Stress measurements were taken at room temperature on a standard stress tool.5% decrease in the seed resistivity and a 50 MPa change in stress occurred in the first 5 days. The trends presented have been reproduced for previously processed samples. and 33 mA/cm'. Four applied deposition current densities were investigated: 7. Two wafers were processed at each condition. the impurity concentrations of C.4 and 19. The time between seed deposition and ED copper was five days in all cases. The S and Cl data display a shallow minimum at 20 mA/cm 2 . Overall. The changes in resistance shown in Figures 2 and 3 are calculated with respect to the value measured immediately following deposition. Other plating parameters including temperature and agitation were the same in all cases. sulfur (S). 0 and Cl are shown in Figures 1 and 2 as a function of current density for both waveforms. 0 and Cl are greater for a PR waveform than a DC waveform. These trends are seen for both a DC and a PR waveform. However. Sheet resistance measurements were conducted with a noncontact. The concentrations of C and O in the deposit decrease with increasing current density. 13. the stress values reported here for the ED copper are in reference to the radius of curvature measurement of the seed prior to electrodeposition and are presented as the change in stress from the initial value immediately after deposition. In order to ensure that changes observed for the ED copper with time were not because of instability in the seed. the impurity concentrations are found to be at least one order of magnitude higher at the lowest current density than at the highest current density. poly Si wafers were deposited with oxide. A 1. Four terminal resistance measurements were performed on 16 lines of each width on a regular basis following deposition. 104 Electrochemical Society Proceedings Volume 99-9 . S. S. after which very little change in resistivity and stress were observed. Copper was also electrodeposited on a patterned wafer with lines of 0. RESULTS AND DISCUSSION Impurity concentrations for C. For most current densities. The deposit thickness in all cases was approximately the same. one wafer was measured over time for changes in stress and resistivity while one wafer was analyzed for impurity concentrations (carbon (C). although these differences are within the error of this particular technique. The resistance values used to calculate the changes reported are an average of 49 point measurements (6mm edge exclusion) on each wafer. 200 mm wafers were electrodeposited (ED) with a commercially available bath (Motorola formulation) in a commercially available plating tool. Blanket. This wafer had the same underlying materials as the blanket wafers. The SIMS data presented are values of the impurities taken in the bulk of the deposit. eddy current method. The reverse current and timing of the PR waveform were identical for each applied deposition current. barrier and copper seed. The values shown are concentrations taken at the same depth in all cases. one wafer with seed only was also monitored. oxygen (0) and chloride (Cl)) with dynamic SIMS.EXPERIMENTAL Prior to electrodepositing copper. However. We were also interested in comparing the changes in the resistance of electrodeposited copper in lines to ensure that what we observe on blanket films is not dramatically different. Electrochemical Society Proceedings Volume 99-9 105 . However. We have reason to believe from the ion beam images shown in Figures 8 and 9 that the initial deposit microstructures for low and high current densities are very different. however. the stresses of copper films deposited at higher current densities show a very different behavior. they should be annealed. The film deposited at the lowest current density. Resistance transients for 0.The concentrations of impurities are known to shift with other deposition parameters such as plating temperature (6). these films were tensile. Preliminary data on resistivity transients as a function of seed thickness show larger decreases in the resistivity of ED copper films deposited on thinner seeds.4 and 19. changes in the microstructure and grain size as a function of current density are not well understood and may also be significant in explaining the data presented above. Figure 3 presents the transients in the resistance of the PR ED films. Microstructural differences between the PR and DC waveform deposits may also explain the larger deviations from initial values seen for the DC waveform data than for the PR waveform data. However. The increase in stress and then relaxation suggests a two-step mechanism of self-annealing for these particular films. With this additional information. it will be necessary to monitor the mincrostructure of the deposits as they self-anneal. larger decreases are seen for all current densities deposited with a DC waveform. The changes in stress and decrease in stress relative to the initial stress are greater for films deposited at higher current densities. In addition to ion beam imaging. films deposited with a DC waveform show greater changes in stress than films deposited with a PR waveform. Immediately after deposition. The trends in stress and resistance imply that deposits with less impurities are less stable and self-anneal more at room temperature. This may be due to the fact that the average current density of the PR waveform is less than that of the applied current density of the DC waveform. Differences in the transients between the lines of different widths may be related to the thickness of the underlying copper seed. Once the samples reach equilibrium. The resistance of films deposited at higher current densities decrease more with time than those deposited at lower current densities.8 micron lines are shown in Figure 7. They increase to a more tensile stress. In order to determinethe mechanism by which these films self-anneal. The decrease in resistance are in qualitative agreement with that observed in blanket films. The PR waveform used may also produce a variety of initial microstructures that could also account for these differences. The same trend is seen for the DC waveform case shown in Figure 4. for both waveforms show similar transients to those shown elsewhere (3). they then relax towards zero stress. The bulk values for the resistivity at this point can be compared to note the effect of impurity concentration. orientation in the film via XRD as a function of time needs to be studied. The stress of the films was also measured after deposition. Changes in stress for the PR and DC waveforms are shown in Figures 5 and 6. This film is initially compressive (with respect to the substrate) and moves to a near zero stress with time. 7 mA/cm 2 . Again. the role that impurities and microstructure play in self-annealing may be better understood. 106 Electrochemical Society Proceedings Volume 99-9 . Carpenter. Jiang. I. Fraser. West. 887-894. PR and DC. R. C. E. CO. P. Appl. "Critical Influence of Plating Bath Temperature on Cu Damascene Electrodeposits". C. IITC Conference proceeding.. J. Lingk and M. J. 5. AZ). San Francisco. C. Stress transients also suggest that there is a two-step mechanism for self-annealing of films deposited at larger current densities. 1998. Gignas. 84. 1998. Mikkola and B. Gross. R. Harper. K. Q. The films deposited with a DC waveform display even larger changes with time than deposits from PR waveforms. AMC Conference. CO. L. T. "Leveling and Microstructural Effects of Additives for Copper Electrodeposition". 2. Cabral. 1998. Graham. "Room Temperature Film Property Changes in Electro-deposited Cu Thin Films". Soc. ACKNOWLEDGMENTS We would like to give special thanks to the following contributors for their support and help: Martin Gall. E. Phys. Rodbell. R.CONCLUSION We have demonstrated that the applied current density during electrodeposition affects the transients in resistance and stress of the film. C. Mu and D. submitted. M. Noyan. Carpenter. L. pp. S. 4. Rosenburg and J. T. 3. P. "Room Temperature Evolution of Microstructure and Resistivity in Electroplated Copper Films". MRS Spring Conference. Colorado Springs. C. 1998. J. Mikkola and B. C. 6. Impurity concentrations decrease with increasing current density for both waveforms studied. 1999. Ritzdorf. Q. Tian and A. Jiang. T. Jin. C. Electrochem. Advanced Metallization and Interconnect Systems for ULSI Applications in 1998. LaSandra Butler. REFERENCES 1. Mike Tiner. Shaw. Kelly. M. Colorado Springs. J. 5547 (1998). CA. T. Steward Rose and Kitty Corbett (APRDL) and Kari Noehring and Erika Duda (Materials Characterization. Andricacos. Betty Burleson.. Films that contain less impurities display larger changes in resistance and stress and self-anneal more than films with larger impurity concentrations. 1.00E+20 -! 1.00E+19 C - 1.OOE+18 0 S1.OE+17 1.OOE+16 5 10 is 20 25 30 35 Current Density ( mA/cm') Figure 1. Impurity concentrations in the bulk of the deposit as a function of current denisty for a PRwaveform. 1.00E+20 ,.00E+19 I.OOE±180 CC S1.00E+17 1.OOE+16 5 C. .. .. ... . 10 15 20 25 2 30 35 Current Density ( mA/cm ) Figure 2. Impurity concentrations in the bulk of the deposit as a function of current denisty for a DC waveform. Electrochemical Society Proceedings Volume 99-9 107 050 05 v -2 .•-2.5 -3 -3.5 -4 -4.5 0 5 10 15 20 25 Time (Days) 33 mA/cm3 30 35 40 Figure 3. Changes in resistance with time for four current densities and a PR waveform. 0 -0.5 -1 . -2 . -2.5 a -3 S-3.5 -4 -4.5 0 5 10 15 20 25 Time (Days) 30 35 40 -4 33 mA/m• Figure 4. Changes in resistance with time for four current densities and a DC waveform. 108 Electrochemical Society Proceedings Volume 99-9 40 35 30 925-T 5. 7 mA/cm' c 20 o S15 IT 20 mA/cm' t~10 0 -5 -10 0 5 10 15 20 25 30 35 40 45 Time (Days) Figure 5. Changes in stress with time for four current densities and a PR waveform. C denotes an initially compressive film and T denotes an initially tensile film. 40 35 7 mA/cm2 C 30 • 25 20 1 T I 10 U 5T -5 -10 0 , 5 10 15 20 25 30 35 40 Time (Days) T 45 Figure 6. Changes in stress with time for four current densities and a DC waveform. C denotes an initially compressive film and T denotes an initially tensile film. Electrochemical Society Proceedings Volume 99-9 109 .0. 19.8 micron -2 0.4 micron -2.5 0 5 10 15 Ti-e (D.•s) 20 25 30 Figure 7. Changes in resistivity with time for electrodeposited lines of widths 0.4 and 19.8 microns. fN Figure 8. Ion beam image of the "as deposited" film deposited at 7 mA/cm 2 (PR). V07 Figure 9. Ion beam image of the "as deposited" film deposited at 20 mA/cm 2 (PR). 110 Electrochemical Society Proceedings Volume 99-9 DOPANTS IN ELECTROPLATED COPPER' P.C. Andricacosa2 , C. Parks', C. Cabral', R. Wachnikb, R. Tsai', S. Malhotrab, P. Lockeb, J. Fluegelb, J. Horkansa, K. Kwietniak', C. Uzoh', K.P. RodbelP, L. Gignaca, E. Waltonc, D. Chungc, R. Geffkenc "IBM Research, Yorktown Heights, New York 10598 bIBM Microelectronics,Hopewell Junction, New York 12533 VIBM Microelectronics,Essex Junction, Vermont 05452 ABSTRACT Dopant incorporation and resistance transients in unpatterned films of electroplated copper were studied as a function of bath age and other plating parameters such as current density, agitation, temperature, additive concentration and chloride concentration. Dopant content exhibits a strong dependence on agitation and additive concentration; it also depends on current density but to a lesser extent. Chlorine content of the film is independent of chloride content in the bath. Dopant incorporation is independent of bath age. Resistance transients are slower the higher the dopant content of the film. Copper electroplating from baths containing additives has been shown to fill Damascene structures because of a phenomenon called supetfilling in which plating rates increase along the feature sidewalls and bottom making it possible to plate void-free and seamless deposits [1 - 5]. In the model of superfilling [1], additives are consumed at the wafer surface causing incorporation of impurities or "dopants" in the plated film. We determine here the plating parameters that play a role in defining dopant levels. We further explore the effect of these parameters on the kinetics of the resistance transformation of electroplated copper. We conclude that there is a correlation between dopant levels and resistance-transient kinetics; namely, the higher the dopant level, the slower the transformation. EXPERIMENTAL A design-of-experiments (DOE) software package called BestDesign was used to identify the plating parameters that define dopant content. BestDesign is a novel system for designing optimum DOE matrix that minimizes the number of runs while maximizing accuracy of response surface estimation satisfying a variety of application specific constraints on the responses, inputs or both. Existing experimental runs are taken advantage of while designing the rest of the matrix. SDopants are impurities in the plated film; additives are substanes added to the plating solution to improve the properties of the plated film 2 Email:
[email protected] Electrochemical Society Proceedings Volume 99-9 111 It also finds the best process inputs that optimize multiple objectives or responses and process window sizes, resulting in optimum processes that are least affected by unwanted process or equipment variations. It is also capable of finding multiple solutions satisfying given constraints, as well as finding single global optimum without the trouble of local minimum. Arbitrary constraints (linear or nonlinear) can be imposed while seeking the optimum solutions. Parameters such as bath chemistry, current density, and level of agitation were varied over wide ranges. In order to save wafers and prevent extensive bath-chemistry modifications in a wafer-plating tool, we made use of a rotating wafer holder shown in Figure 1. Use of this apparatus required less than I liter of plating solution while permitting accurate control of such parameters as rotation speed and current density. Design of the wafer holder permitted electrical contact to be made in the front of the wafer fragment. Typically wafer fragments 2 cm x 2 cm in size were cut and mounted with a circular area 0.5 inch in diameter exposed to the electrolyte. All runs were performed on wafer fragments covered with a sputter-deposited copper seed layer. The weight of the wafer fragment was measured before and after plating. A plating experiment was characterized as successful if the Faradaic current efficiency was well in excess of 0.9. Dopant levels in the plated copper film were determined by Secondary Ion Mass Spectrometry (SIMS). SIMS profiles were measured with a Cameca ims-5f tool using 14.5 keV cesium primaries, negative ion detection, and sufficient mass resolution to separate S- from 02. Quantification was done using ion implant references of "3C, l"0, and 35C1 into copper with S being in arbitrary units. A nominal copper density of 8.92 g/cc was used to convert to units of parts per million by weight (ppmw). Sheet resistance measurements were made close to the center of the wafer fragment using a 4-point probe technique; transients were recorded at room temperature, although measurements at higher temperatures were performed in most instances. Sheet resistance values were normalized with respect to the value measured immediately (within 10 minutes) after plating. Dopant dependence on bath age necessitated the preparation of baths with controlled age. In order to accomplish this, bath samples were obtained from IBM's semiconductor development site at East Fishkill, New York, and mixed with fresh baths with the same composition. Typically aged baths had been in operation in excess of 1 year. Mixing ratios of 25 % by volume fresh bath + 75 % by volume aged bath, 50/50, and 75/25 were used together with 100 % fresh and 100 % aged baths. Bath age was measured by HPLC [6]. RESULTS AND DISCUSSION Results of the matrix experiments are shown in Figures 2,3, and 4. With a few exceptions especially at very low chloride concentrations (not shown here), the dependence of dopant content on a parameter was similar for all dopants. Rotation speed and additive concentration were more important in defining dopant content than current density. As shown in Figure 3, C content decreased with current density especially at the higher rotation speeds, but increased much more rapidly with rotation speed and additive concentration. The latter also played a key role in defining the CI content of the film. As shown in Figure 4, Cl content depends weakly on the Cl concentration in the bath, but very strongly on the additive concentration. In order to verify the results of the DOE study, we performed experiments in which we varied rotation rate and additive concentration keeping other parameters such as deposition temperature and remaining bath chemistry constant. Results shown in the table below confirm the findings of the 112 Electrochemical Society Proceedings Vohlme 99-9 DOE experiments: as the additive concentration is doubled at constant rotation speed, dopant content approximately doubles. As rotation speed quadruples, dopant content approximately doubles in agreement with Levich theory [7 1 and mass transport controlled dopant incorporation. Additive Concentration (arb. units) 1 2 2 3 Rotation Speed (rpm) 85 85 350 350 Carbon in Film (ppmw) 16 36 60 101 Chlorine in Film (ppmw) 11 19 29 42 Oxygen in Film (ppmw) 26 53 92 132 Next we examined the role of bath age on dopant content. Bath samples from wafer plating stations were withdrawn and mixed at different proportions with fresh baths of identical composition as described before. Results of Figure 5 suggest that dopant incorporation does NOT depend on bath age. Extensive use of baths therefore is not expected to cause performance deterioration attributable to impurity incorporation. This result of course depends to a certain extent on the particular chemistry used as well as the level of bath maintenance and control employed. Measurements of Rs transients were conducted in order to assess the effect of dopants / plating parameters on the kinetics of the transformation of electroplated copper [8]. Results are shown in Figure 6. For a constant bath temperature, the parameters that affect dopant incorporation the most are current density, rotation speed, and additive concentration. It is seen that an increase in additive concentration and rotation speed leads to a delay in the resistance transformation and to an increase in dopant content. Similarly, an increase in plating current density causes an acceleration of the resistance transformation and a decrease in dopant incorporation. It is thus concluded that dopant content increase causes delays in the resistance transformation of plated copper in accordance with the observations of Harper et al [8]. Results shown in Figs. 7 and 8 corresponding to different bath temperatures as well as plating from three different commercial chemistries are consistent with this correlation. Dopants Increase with additive concentration Increase with agitation Decrease with current density Kinetics of Rs Transient Decrease with additive concentration Decrease with agitation Increase with current density In summary, we have determined that parameters such as level of agitation, additive concentration, and current density influence the dopant incorporation in plated copper in a systematic manner. Bath age does not have an effect on dopant amounts. The resistance decrease of plated-copper films is slowed down by all parameters that cause an increase in dopant levels. Electrochemical Society Proceedings Volume 99-9 113 REFERENCES 1. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, IBM J. Res. Develop., 42, 567(1998). 2. P.C. Andricacos, Interface, 8(1), 32(1999). 3. P.C. Andricacos, Interface, 7(1), 23(1998). 4. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, in Advanced Metallization Conference in 1998 (AMC 1998), C.S. Sandhu, H. Koerner, M. Murakami, Y. Yasuda, N. Kobayashi, Editors, p. 29, Materials Research Society, Warrendale, PA (1999). 5. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, in Electrochemical Processingin ULSI FabricationI and Interconnect and Contact Metallization: Materials, Processes, and Reliability, P.C. Andricacos, J.O. Dukovic, G.S. Mathad, G.M. Oleszek, H.S. Rathore, C. Reidsema Simpson, Editors, PV 98-6, p. 48, The Electrochemical Society Proceedings Series, Pennington, NJ (1999). 6. J. Horkans, unpublished results. 7. V.G. Levich, Physicochenmical Hydrodynamics, p. 297, Prentice Hall, Englewood Cliffs (1962). 8. C. Cabral Jr., P.C. Andricacos, L. Gignac, I.C. Noyan, K.P. Rodbell, T.M. Shaw, R. Rosenberg, J.M.E. Harper, P.W. DeHaven, P.S. Locke, S. Malhotra, C. Uzoh, and S.J. Klepeis, in Advanced Metallization Conference in 1998 (AMC 1998), C.S. Sandhu, H. Koerner, M. Murakami, Y. Yasuda, N. Kobayashi, Editors, p. 81, Materials Research Society, Warrendale, PA (1999). 9. J. Harper, C. Cabral, Jr., P.C. Andricacos, L. Gignac, I.C. Noyan, K.P. Rodbell, and C.K. Hu, J. Apple. Phys., 86(5), 2516(1999). 114 Electrochemical Society Proceedings Volume 99-9 SE 0_ 00 - CL .. 0 Ecrl Electrochemical Society Proceedings Volume 99-9 115 .. ..., .. ,.....,.... S. ... • • .1.4£ - ., ... ..... ...... • .... ......... ~~~~~... L• • • • C 172 .' :.:J "... ... .. 0 S.....[ 116 " o.... " • ... : C) . . lecrocemial Sciey . ... ..... Poceding Voume99- :.... ...L. 41 1ad 10 .4,0 - -- 0. a 44 0il"-" 44 Cd C d . 1 . c~l ICq 44 .. N 444 .4) 400 4-) 0 44 S-4-~ . d . 0 0 Electrochemical Society Proceedings Volume 99-9 117 .....Ca -... Ci 118EletrohemcalSocetyProeedngsVolme 9- .. CD 0 Ca o C D -1 .. mm mwdd i0 ne/Is • o• t CC 0U Co 0 0 mwdd a . 0 . . . . o- 4-•o x o C 0 4 ~o r.wdd /10• m Electrochemical Society Proceedings Volume 99-9 119 .N N) 6. 0 1 r0 Czt 0 00 U 4ý 0 -O 0f 0) 0n (oi C?!' P )SJPZP~JN ~ 0 0 -OL) 1Atl~ VM ~ ~1 WO e~lui (oq jz~q -dp~zp~j ______ 12 ~ ~ ~0 Elcrce ia oit rceeig tI e9- . E-_c ac -1 0 _ '- ..COo I U U-0 CO- p~ ~ 0 ~ 1: > 0 - rraw Ia.0 t __ el r*O ~~ n 0 ~ in ro (OLJ 101s U91l PZ11 S IO Elcrohmia Socet Voue9-2 0rceig . CVD generally provides good conformal coatings inside features but with poor adhesion. MT. conformal copper seed layer in the feature is highly desirable. Contrary to the traditional approach regarding seed layer application. Electrochemical deposition (ECD) has been found to be the most efficient method to deposit copper for void-free fill.7). To avoid this problem. an ultra-thin copper flash layer. rather than a relatively thick PVD copper seed layer. Kalispell. PVD can readily deposit copper on the barrier layer with good adhesion when compared to CVD processes. insufficient PVD copper seed layers tend to produce voids in the feature. this approach will not be viable for 122 Electrochemical Society Proceedings Volume 99-9 . For better gap fill. the normal approach for PVD processes is to deposit a thicker seed layer (. is deposited by a PVD process. This flash adhesion layer is conformally enhanced from Semitool's specially formulated plating solutions by electroplating. Since the ECD process relies on the seed layer to carry current from the top of the trench to the bottom. Ritzdorf Semitool Inc. is that pinching off of small trenches or vias can be avoided. INTRODUCTION Copper is going to replace aluminum as the material of choice for semiconductor interconnects due to its low electrical resistance and high electromigration resistance (14). and gives the best electromigration resistance performance of the interconnect (6. The advantage of depositing an ultra-thin copper flash adhesion layer and ECD seed layer. ECD Division 655 West Reserve Drive. are that they tend to leave thinner sidewalls and limited bottom coverage (nonconformal) as shown in Figure 1. and then diffusion barrier and copper seed layer are deposited into the trenches and vias (5). The ECD seed layer is then used to deposit copper from an acid copper sulfate plating bath. However. serving as an adhesion layer. The disadvantages of PVD processes. while ensuring adequate sidewall coverage.. Chen and T. An inlaid interconnect is used for copper metallization in which the insulating dielectric material is deposited first. often prepared by either PVD or CVD. however. The electrodeposition of copper is generally suitable for applying copper to an electrically conductive copper seed layer.59901 ABSTRACT A novel approach is presented in this paper for inlaid copper metallization.ECD SEED LAYER FOR INLAID COPPER METALLIZATION L.1000 to 2000 A) so sufficient sidewall and bottom coverage (-IOA) can be achieved. trenches and vias are formed by patterning and selective dielectric etching. Plating time for the ECD seed was determined by the thickness of desired total copper seed layer. thus creating center and/or bottom voids in the feature. Amray) and Focused Ion Beam (FIB. Titanium Nitride (TiN) or Tantalum (Ta) diffusion barriers with nominal thickness of 300 A were deposited on the trenches by vacuum techniques such as PVD or CVD. mainly for the purpose of good adhesion. Chemical etching rate of PVD copper seed as a function of immersion time in the ECD seed plating solutions was obtained by measuring the thickness change using a four point probe station (CDE. a novel approach was used for copper metallization as shown in Figure 2 (8). contrary to traditional thoughts regarding seed layer application. Trenches with various geometries and aspect-ratios were patterned in silicon oxide coated wafers. By depositing an ultra-thin layer. In this approach. Some wafers were plated directly using the acid copper sulfate bath without the ECD seed enhancement and were compared to those processed with ECD seed enhancement. Three different plating baths for ECD seed were examined for conformal plating. EXPERIMENTAL All experiments were performed on 200mm wafers using Semitool's plating tool. FEI Dual Beam 820 ) were used to examine the cross-sections of features after ECD seed and full-fill deposition. and a platinum wire as reference electrode. pinching off of small trenches or vias can be avoided. Unless specified differently. RESMAP). Conventional wisdom indicates the eventual need of costly CVD process to overcome these problems. a large area of platinum sheet as counter-electrode. In this work. This thin PVD copper adhesion layer was electrochemically enhanced in Semitool's proprietary ECD seed plating solution prior to the full deposition from an acid copper sulfate bath. Scanning Electron Microscope (SEM. rather than a relatively thick one. a PVD copper adhesion layer with a nominal thickness of 200A was deposited on top of the barrier by PVD techniques. an ultra-thin copper flash is first deposited by PVD. Electrochemnical Society Proceedings Volume 99-9 123 . This ultra thin adhesion layer is conformally enhanced from our specially formulated plating solutions by electroplating prior to the full deposition from an acid copper sulfate plating bath.more aggressive features because the upper portion of the feature is effectively closed off by the PVD seed layer deposition prior to the ECD process. A three-electrode system was used in which a piece of wafer served as cathode. Potential sweep measurements were obtained using an EG&G potentiostat (Model 263). This provides a great improvement for the total seed coverage (PVD copper plus ECD seed) within the trenches and can significantly improve the gap fill from an acid copper sulfate bath. The acid copper sulfate bath normally produces powdered deposit with poor adhesion that can be easily washed off with water. The copper coverage inside the trenches was very limited and the step coverage was estimated to be less than 5 percent. Copper cannot be plated directly on the exposed barrier layer from acid copper sulfate baths. cannot be successfully used to fill trenches on such ultra-thin layers.RESULTS AND DISCUSSION ECD Seed Layer From Different Plating Solutions Various ECD seed plating solutions were evaluated for conformal copper deposition. direct plating on barrier layer was compared between ECD seed bath and an acid copper sulfate bath. TaN. The use of an ultra-thin copper flash adhesion layer introduces its own problems. Figures 2c and 2d show the SEM cross-sections after ECD seed deposition from bath2 and bath3. This is because the high acid concentration bath normally attacks the copper at quite a high rate. Apparently this bath cannot be used for ECD seed process because these large crystals may cause voids. copper oxide can readily form when exposed to an oxygen-containing environment and its removal in the acid copper sulfate bath can further reduce the copper seed coverage. In addition. particularly on the sidewall inside the feature where the proportion of copper oxide to metallic copper can be significant for a thin copper layer. Figure 3b shows the ECD seed copper deposit obtained from plating bathl. To examine the adhesion of copper deposits to barrier layers. One of the most significant of these problems is the fact that an acid copper sulfate bath. respectively. Characterization of ECD Seed Plating Bath Copper Direct Plating on Barrier Layer. Figure 3a shows the SEM cross-section of collimated PVD copper with a nominal thickness of 1000A. Such non-continuous seed can be a potential spot for voids during the acid copper plating. the most commonly used plating solution for copper interconnects. Figure 3 compares results obtained from three ECD seed baths. However. ECD seed bath provides a continuous. Table 1 124 Electrochemical Society Proceedings Volume 99-9 . Another problem related to the ultra-thin copper adhesion layer is that the ultrathin layer cannot uniformly cover the barrier and may have some spots which are not coated by copper. The chemical removal of copper oxide may result in non-continuous coverage of copper on the barrier layer. Conformal copper deposits were obtained and the step coverage for ECD seed process was found to be higher than 60%. smooth copper deposit with much better adhesion to barrier layers such as TiN. large copper crystals were observed on the sidewalls of the trenches. Therefore. and WNx. it is desirable for the copper deposit from the ECD seed bath to have relatively good adhesion to barrier layer. Smooth deposits were obtained on the top of trenches. the ECD seed bath should have a slow chemical etching rate on copper to minimize the thickness reduction of the original PVD copper layer. Electrochemical Society Proceedings Volume 99-9 125 . Figure 6 compares the potential sweeps obtained from our ECD seed bath. An etching rate of less than 1A per minute was obtained for the ECD seed bath. For clarity. the removal of this oxide can lead to a significant reduction in the seed thickness. thicker ECD copper seed resulted in lower resistance. The oxide is normally removed in an acid copper plating solution by a chemical dissolution process prior to the plating. For the oxide-covered deposit. Since a very thin PVD copper adhesion layer is used. As expected. the thickness change in an acid copper sulfate bath is included in Figure 5 for comparison. Since the only difference between these two samples is the existence of copper oxide. is to use an ultra-thin PVD adhesion layer prior to the ECD seed layer deposition. Figure 5 presents the chemical etching rate of a copper seed layer as a function of immersion time in the ECD seed bath. particularly on the sidewall of the feature. The copper oxide was formed by heating the copper deposit at 140'C for 10 minutes in air. Copper oxide can form readily on PVD copper seed if the seed is exposed to an oxygen-containing environment prior to the ECD seed process.summarizes the resistance change and the adhesion of plated copper seed as a function of copper seed thickness. it is reasonable to assume that these two additional peaks are related to the conversion of copper oxide to metallic copper. Chemical Etching Rate in ECD Seed Bath. the ECD seed bath should not dissolve the copper oxide but convert the copper oxide to metallic copper to minimize the thickness reduction. The adhesion of plated copper seed was strongly dependent on seed thickness. which were determined to etch at roughly 20A per minute. one current peak was obtained prior to the onset of hydrogen evolution and this peak can be related to copper plating from the ECD seed bath. The resistance was measured using a multimeter over a distance of -lcm. Delamination between the copper and barrier was observed One way to improve adhesion. Figure 4 shows a SEM cross-section of trenches which were plated with 700A ECD seed layer on TiN barrier followed by copper full fill from a standard copper sulfate bath. The wafer was immersed in ECD seed bath for a predetermined time for chemical etching and then the thickness of the copper film was determined by using a 4point-probe station. For a thin seed layer. Conversion of Copper Oxide to Metallic Copper in ECD seed Bath. two additional current peaks were obtained before the copper plating from the ECD seed bath. This also eliminates the concern that there is any possible existence of copper oxide between the PVD adhesion layer and ECD seed layer. As seen from Figure 6 for copper deposit. The dotted curve was obtained on a copper deposit and the solid one on copper-oxide-covered deposit. This is at least 20 times slower than the acid copper sulfate baths. Thus. indicating the adhesion is not good enough for thick copper. The ECD seed with a thickness of less than 1050A passed the tape-pulling test while the one with 21 OOA failed the test. as proposed in the paper. Insufficient seed layer generally provides thick deposits at the edge and thin deposits at the center. a plating bath with low conductivity is beneficial because the effect of PVD adhesion layer on deposition non-uniformity is less significant with a lower conductivity plating solution. indicating the need for ECD seed with a very thin copper layer.Uniform Deposition from ECD seed Bath. Full-Fill With Standard Copper Sulfate Bath After ECD seed Process Full fill of features was carried out on some of the wafers after ECD seed. Figure 1 a was plated directly from an acid copper sulfate bath without our ECD seed and Figure 1lb was plated with ECD seed. Similar to those in Figure 9 with a 200A PVD layer. a PVD adhesion layer with a nominal thickness of 1OA was used. No plating was obtained at the center of the wafer while a powder deposit was seen at the edge of the wafer. the side and bottom step coverage was found to be over 60%. more than 10 times less conductive. This indicates that the acid copper sulfate bath cannot be used to plate copper on this 200A adhesion layer. Due to the very thin PVD copper layer. Figure 10 shows SEM cross-sections after plating 75 coulombs ECD seed copper and.. for this very thin copper adhesion layer. Uniform deposits were obtained both at the center and edge of the wafer. a uniform deposit across the wafer was obtained. In addition. 4:1 AR) with 200A PVD copper. It should be mentioned that the adhesion of the copper deposit to a very thin PVD copper layer passed all the tape-pulling tests. it is very difficult to distinguish the PVD copper from TiN barrier. As expected. This demonstrates the capability of the ECD process on a very thin PVD adhesion layer. in this case. much higher than for PVD processes. Figure 7 shows PVD adhesion layer at the center (a) and at the edge (b) of the wafer deposited by a long-throw PVD system. uniformity is achieved by proper reactor design to compensate for seed layer resistance effects and the acid copper sulfate bath is optimized for gap fill and film properties such as film resistivity and electromigration resistance. The conductivity for an acid copper sulfate bath was found to be around 500mS/cm while that for our ECD seed bath was -20mS/cm. Figure 11 compares cross sections for trenches (0. Figures 7 through 10 compare SEM cross-sections of trenches plated with the acid copper sulfate bath and ECD seed bath. Typically for a thick PVD seed layer. Electroplating relies on the seed layer to carry current from the edge to the center of the wafer. The target thickness of PVD copper layer was 200A. bottom-voids were observed in the trenches without ECD seed and complete void-free fill was obtained after ECD seed. The barrier was TiN with a thickness of 300A. Figure 8 shows the cross sections after plating 75 coulombs from the acid copper sulfate bath. 126 Electrochemical Society Proceedings Volume 99-9 . However.25l. indicating the advantage of using ECD seed on the thin PVD copper layer. Figure 9 compares the cross-section after plating 75 coulombs from an ECD seed bath. A nominal PVD copper thickness of 400A was used for this experiment and the via size was O. and void-free fill after the ECD seed. This process will allow the semiconductor industry to use current low cost copper deposition processes. Submicron trenches and vias have been successfully filled after the ECD seed process.3. Special thanks are due to Laura Rashid and Mike Funk for taking the SEM and FIB images. Void-free fill was obtained when the ECD seed thickness was 400A or 800A and their corresponding cross-sections are shown in Figures 13 c & d. Figurel4b shows the ECD seed layer and copper coverage in the feature was significantly increased. This ECD seed can be used for void-free filling from the acid copper sulfate plating solution as shown in Figure 12b for vias with 0. CONCLUSIONS A process has been developed using Semitool's patent-pending ECD seed layer deposition. This process is capable of depositing a copper film on very thin PVD copper flash layers that are used to provide adhesion for the ECD seed. Figure 13a shows the FIB image of features plated directly from an acid copper sulfate bath without any ECD seed. Bottom-voids were often observed for this trench (0. 6:IAR) without the ECD seed enhancement. Figure 12a shows a conformal ECD seed layer on vias with an originally 200A PVD copper adhesion layer. The ECD seed layer process is useful in extending the inlaid copper metallization process beyond the limit of PVD seed layers. Note that the IMP seed layer was only 200A thick. Excellent step coverage was achieved by the ECD seed process. ACKNOWLEDGEMENTS The authors wish to thank the engineers and technicians of Semitool's Electrochemical Deposition Division for their support and encouragement on this work.tm. and it is able to convert copper oxide to copper metal. even as device geometries continues to shrink.211. Figure 14 compares cross-sections of original IOO0A PVD seed.4A with 3:1 aspect ratio. Figure 14 c presents the void-free fill after the ECD seed process. indicating insufficiency of the PVD copper coverage at the bottom of the vias.The ECD seed process was also examined with via wafers. Plating 200A ECD seed reduced the bottom-voids as shown in Figure 13b. Large bottom-voids were observed in the vias. It should be mentioned that our ECD seed bath can also be used to enhance the thin seed layer inside aggressive features even if the PVD copper thickness is more than IOOOA. The proprietary chemistry was developed so as not to etch the copper adhesion layer. 4:1 aspect ratio. after 800A ECD seed. Figure 13 shows the effect of ECD seed thickness on via fill. Electrochemical Society Proceedings Volume 99-9 127 . B. 3. The Electrochemical Society Inc. M.20(9. in "Metallization: Theory and Practice for VLSI and ULSr'. L. 769-772 (1997). Stoneham. ECD seed 128 Electrochemical Society Proceedings Volume 99-9 . Figure 2: Semitool's deposition process. 7. Venkatesan. Ryu.REFERENCES 1. 5.. G. SemiconductorInternational.. C. in" Interconnect and Contact Metallization". H. in "Full copper wiring in a sub-0. pp. S. US patent (Filed in Jan. Semiconductor International. 773-776 (1997). IEEE IEDM. C.8V. 4 . Y. Edelstein.67(1997). NJ.-.< Figure 1: A schematic representation for non-conformal PVD seed layer. Singer. 6. 3. et al. "A high performance 1. Table 1. D. L. S. et al. "Electromigration of Submicron Damascene Copper Interconnects". Ono.1998). Murarka. Hsu. Proc.. MA (1993). Eds. 0. 1998. Evans. IEEE IEDM.August). Ulrich and S. Plougonven and C. P. S. R. Proc. P. D. D. Mathad. 1998 Symposium on VLSI Technology. Nguyen.November). June 8-11. et al.20(13. P.20 pjm CMOS technology with copper metallization". PV 97-31. 4.. Y. Charneski. Butterworth-Heinemann. Kobayashi.f . 2.79(1997). C. P. Singer. Pennington. J. Dependence of copper seed resistance and adhesion on ECD seed thickness (the ECD seed was directly plated on TiN barrier layer) Plated Copper Thickness (A) 0 175 525 700 1050 2100 Resistance P() 130 87 18 11 4 2 Tape Test N/A Passed Passed Passed Passed Failed PVD Cu Seedlayer S)J7 Barrier layer ECDCu Seedlayer • Barrere layer . T. 8.25 Pm CMOS ULSI technology". pp. Chen. Schuckert. Senzaki. Rathore. Electrochemical Society Proceedings Volume 99-9 129 .(a) 1000A PVD copper before ECD seed (c) After ECD seed in bath2 (b) After ECD seed in bathl (d) After ECD seed in bath3 Figure 3:Comparison of copper deposits plated from different ECD Seed baths. Figure 4: SEM cross-section of trenches (pI 2:IAR) filled with 700A ECD seed on TiN barrier followed by standard copper full fill. i. 4:1 AR.CudF ilm Oxide-covoru Cu Flm" -ECh 5400 P 200 0 10 p 250OA TON 1500A C.) with 200A PVD copper layer.1800 1 4 0_•" _ _" . 130 Electrochemical Society Proceedings Volume 99-9 . 20 30 40 Etching Time (min) N0 Seed Cu latid Cuon 7 fonte (otted 0neco 80 Potential (V) Figure 5: Comparison of etching rates of 1500A PVD copper in the acid copper bath and ECD seed bath Figure 6: Potential sweeps obtained with ECD Seed bath on plated copper (dotted line) and on copper oxide (solid) (a) Center (b) Edge Figure 7: 200A PVD copper adhesion layer at the center (a) and edge (b) of wafer for trenches (0. 4:1AR) (a) Center (b) Edge Figure 8: Plated 75 coulombs from the acid copper sulfate bath on trenches (0._ _-_• 01200 1000 000 Auo Coppen bath (20O/ran) " ECd .25gt.25/am. (a) Without ECD seed (b) With ECD seed Figure 11: Comparison of gap-fill for trenches (0. Electrochemical Society Proceedings Volume 99-9 131 .25 pm. 4:1 AR. 4:1 AR) with 200A PVD copper layer.25 jim.) 200A PVD copper layer.25ýtm. (b) Edge (a) Center from ECD seed bath on trenches (0.) with Figure 10: Plated 75 Coulombs 1OOA PVD copper layer. 4:1 AR.L 1 II I (b) Edge (a) Center with Figure 9: Plated 75 Coulombs from ECD seed bath on trenches (0. 4prm. (a) No ECD seed enhancement (c) With 400A ECD seed (b) With 200A ECD seed (d) With 800A ECD seed Figure 13: Comparison of the Gap-Fill using ECD Seed on 400A PVD copper for vias (0. 4:1 AR. 132 Electrochemical Society Proceedings Volume 99-9 . 3:1 AR).(b) Void-free fill after ECD seed (a) ECD seed profile on vias Figure 12: Cross sections of vias (0.) with 200A IMP copper layer: (a) after plating 75 coulombs ECD seed and (b) after full-fill on the enhanced ECD seed layer.3lim. (a) I(0)(A VVD (b) After 800A ECD Seed (c) Full-fill after ELCD seed Figure 14: Comparison of the gap-fill using ECD seed on IOOOA PVD seed trenches Electrochemical Society Proceedings Volume 99-9 133 . the surface may be rounded. On the macroscopic scale. At low overpotentials.Thermodynamics of Faceting on the Submicron Scale in Copper Electroplating Q. The roughening and faceting transitions observed as potential was varied. The interpretation of these measurements has since been questioned. Barkey Department of Chemical Engineering University of New Hampshire Durham. the singular surface and the microscopically rough surface. and even viscinal faces roughen only at elevated temperatures. ' At ambient temperature in vacuum. Alternatively. and X-Ray scattering. facets appear only in the presence of chloride. of 431 K for Cu(113). facets are not always 134 Electrochemical Society Proceedings Volume 99-9 . At the same time. 9 LEED10 and recent He scattering" measurements suggest higher transition temperatures. Introduction The atoms on the surface of a copper crystal immersed in a plating bath are mobile at ambient temperature and will tend toward an equilibrium configuration by galvanic action and by surface diffusion. 1. 356 K for Cu(115) and 315 K for Cu(117). this configuration may be faceted and contain regions of singular flatness. Wu and D. Villain et al7 found a roughening temperature T. Hoogeman et a112 report direct observation of a rougheing transition at 465 K on Ag(115) by STM. and Cu(100) and Cu(lll) to at least 770 K6 . Using helium scattering. the equilibrium shape of copper is faceted. with a topography smoothed out by a nearly isotropic surface tension. NH 03824 Copper single crystal electrodes with orientations of (100) and (110) were imaged by atomic force microscopy during copper deposition in acid sulfate solution with and without chloride. Facets give way to smoothly rounded features as the 34 temperature is raised above the local roughening threshold. Cu(110) has been shown to remain singular at least to 900 K 5 . and the stabilization of facets and terrace edges by chloride are analyzed in thermodynamic terms.2 Corresponding to these macrotopographies are distinct microscopic configurations. while Fabre et al found Tr=380 K for Cu(1l5). 1. relevant portions of the theory of equilibrium roughness and its relation to macroscopic faceting are presented. the surface excess free energy per unit area. In the two sections that follow. Macroscopic Description Immersion of a copper crystal in an electrolyte solution containing the metal ion fixes the electrochemical potential p of the metal. OG = On G. We consider how adsorbed chloride may stabilize the Cu(100) surface at equilibrium and relate this mechanism to simple models of thermal roughening. whereas they can be produced easily if chloride is added to the solution. the second term can be expressed as a local constraint on the curvature K. The second term is obtained by integration of a. ' and Vogt et al have identified this stabilization as a thermodynamic In this paper. IL= po +0 ds Because the chemical potential of the metal has a single value. We have pursued this interpretation experimentally by observation of faceting on the sub-micron scale on low-index surfaces of copper single crystals. defined as the partial derivative of the total Gibbs free energy G of the solid phase with respect to the number of mols n of metal. AFM experiments on copper plating on low-index copper crystal electrodes axe then described and related to the theory.observed on copper surfaces in sulfate solution. S=/to tu(O + -•). which raises Tr. we advance a thermodynamic interpretation of the effect of chloride on copper surfaces in plating solutions. 14 15 effect. These observations suggest that immersion in solution lowers the roughening temperature of copper surfaces by adsorption or by inclusion of contaminants10 ' 13 . The first term is the chemical potential of the bulk metal pro.2 For a two dimensional crystal. over the metal-solution interface. and that the singular surfaces are restored by addition of chloride. + P=o KV4 + [3] [4] Electrochemical Society Proceedings Volume 99-9 135 . There is already experi14 16 mental evidence that the Cu(100) face is reversibly stabilized by chloride. 8 =On + -5-[] n_ WOG On [2 Subscript o refers to the bulk phase and subscript s to the surface. 26. However. The formation of such ordered adlayers has been well documented for chloride on the Cu(100) 2 1 . low-index copper surfaces are below the roughening temperature in vacuum. Orientations with negative stiffness are unstable and do not appear in the equilibrium shape. the electrochemical potential of a macroscopic or planar electrode.20 At ambient temperature. its stiffness is reduced and it no longer appears as a facet in the equilibrium shape. the curvature is appreciable.Eq. and the curvature approaches zero. On the microscopic level. Adsorbates reduce a on any surface to which and they spontaneously attach. [5] can be satisfied by a smooth convex or planar surface. [41. For sufficiently small crystals or surface features. and the surface advances to form a fiat interface. is independent of surface orientation because addition or removal of material changes only the quantity of metal in the bulk and not the surface shape or area. in 136 Electrochemical Society Proceedings Volume 99-9 . An excess free energy per unit length may be defined.where v is the molar volume and 0 the local surface orientation. K= -l = k The characteristics of the equilibrium surface shape are determined by the dependence of a on 0. forming facets. to produce infinite stiffness and facets. for which K is either small or zero. and the equilibrium potential is shifted from the bulk value by a capillary potential represented by the second term on the right hand side of Eq. For finite shapes.1 Along close packed orientations at low temperature. 17 Similar remarks apply to terrace edges.2 5 and Cu(111)21. the surface stiffness approaches infinity. and from its dependence on orientation. low energy singular surface toward the high entropy surface populated by islands and adatoms.27 surfaces. Eq. However. adsorption must be narrowly focused on particular orientations. Faceted terrace edges should be observed when the edge stiffness is infinite. and the protrusion retreats to form a flat interface. the edge stiffness can be determined. Microscopic Description As the temperature of a surface is raised above the roughening temperature Tr. this corresponds to a shift from the low entropy. whereas planar surfaces of unstable orientation decompose to a hill and valley structure. A positive curvature (concave) produces a positive capillary potential. The sum of a and its second derivative with respect to orientation is the surface stiffness According to Eq. 14]. The stability and equilibrium curvature of a given orientation are functions of %P not of a alone. these directions form sharp corners. To obtain the equilibrium shape. Along these singular orientations. [4] is written in terms of surface orientation 0 and a position coordinate I defined as distance along the surface. a negative curvature (convex) produces a negative capillary potential. 18. For orientations with finite positive 1P. J. and this expression for the surface excess free energy is then minimized. the roughening temperature would be raised. Vogt et a115 show this type of step arrangement as well as a second type in which chlorine atoms occupy positions at the edge where Electrochemical Society Proceedings Volume 99-9 137 . The edge free energy vanishes. or Wo. an island-edge model20.10 13 To illustrate how adsorbates may . In the solid-on-solid model. and islands of all sizes proliferate at temperatures above kTr = n [7) J is a coupling constant that gives the energy cost of a step change in the surface height. According to these models.. Such adsorption reduces the energy penalty L. (la) shows that addition of an adatom expels one chlorine atom while addition of a dimer expels four.. and W.. The situation for formation of steps is shown in Figs. a partition function based on the energy of formation of edges is computed. U (I-) Wo 2 [8] Wn is an energy of interaction between steps. the energy penalty for placement of an adatom on the surface is added to the product of the temperature and the configurational entropy of the radomuly distributed adatoms. (1b) and (1c). The transition to a rough surface is gradual and occurs at approximately Ur L. steps or kinks.". Conversely. to ambient temperatures by specific interaction of solvent or additives with the metal or by inclusion of contaminants in the metal matrix.solution adsorbed species may modify the energetics of the surface. the roughening temperature will be reduced by immersion in solution if adsorption occurs preferentially at high-coordination sites. and faceting restored.. One possible result is chemical roughening or a lowering of T. if adsorption were to increase L.1 2 v [6] Lo is the binding energy of an atom in the bulk. for formation of adatoms. This is the case for the VF X v2_ chlorine overlayer on the Cu(100) surface as shown in Fig. For a vicinal surface. or W. and a terrace-ledge-kink model7 . roughening may occur by proliferation of kinks at a temperature given implicitly by W. affect the energetics of the interface. 771the number of nearest neighbors in the layer below and v the number of nearest neighbors in the bulk. In the island model. We consider results for three simplified models of the interface: a solid on solid modelss. (1). J. The key assumption is that a chlorine atom may occupy the four-fold Cu hollow site only if the four adjacent hollow sites are empty. Fig. is the energy of kink formation.. (le). this edge direction should be rough and not appear at equilibrium. Formation of the kink pair expels a chlorine atom from the upper terrace. All of the solutions were made with demineralized water which was doubly distilled and passed through a Nanopure II filtration system. Two solutions without added chloride were prepared. After polishing.01 M CuSO / 1. who observed that this corresponds to the close-packed direction of the overlayer.14. and it raises T.0 mM chloride as HCl was added to two of the solutions.16 We are presenting a different interpretation. The basic solution was 0. does require expulsion of chlorine. Formation of a kink on an edge oriented in the (100) direction. no space on the lower terrace is created for the atom expelled from the upper terrace. As a result. Hence there is a no net expulsion of chlorine. Fig. Formation of a step requires expulsion of chlorine from the surface because the adlayer rows on either side of the step are further apart than rows on the same level. working electrode potentials are reported versus open circuit. Expulsion of the extra chlorine atoms imposes an additional energy cost to adatom or step formation by effectively increasing the number of broken bonds.0 M H 2 S04. (Ic) shows the same effect for a step faceted along the (100) direction. They were polished with 0. The counter electrode was placed in the upstream reservoir. and a Hg/HgSO4 reference electrode was placed in a downstream receiver. the energetics are dominated by the copperchlorine interaction. The stabilization of (100) terrace edges has been noted by prevous investigators. As shown in Fig. The stability of terrace edges depends on the energetics of kink formation. 4 0. 10 % sulfuric acid and water. 138 Electrochemical Society Proceedings Volume 99-9 . In the following section. but creates a space for it on the lower terrace. the samples were rinsed sequentially in 10 % nitric acid. it should be stiff and appear in the equlibrium form. (lb) shows formation of a step along the (110) direction which is the close packed direction of the copper surface lattice. A constant potential was applied to the working electrode with a PARC Model 362 potentiostat. however. Experiment Deposits were formed in dilute cupric sulfate to avoid rapid attak of the substrate by cupric ion. Therefore. one with reagent grade materials and another with Aesar Puratronic cupric sulfate and sulfuric acid. Kink formation on this edge requires additional energy for removal of chlorine. Fig.1mM or 2. The surfaces were imaged with a Digital Instruments Nanoscope E AFM in both deflection and height mode in a fluid cell. The close packed direction of the adlayer should be controlling if the energetics of the surface are dominated by chlorine-chlorine interactions. The open-circuit potentials varied between -410 and -430 mV versus the reference. Electrolyte was allowed to flow slowly through the cell by gravity from a reservoir. (1d) shows formation of kinks on an edge oriented in the (110) direction..two adjacent four-fold sites are occupied by copper. Fig.05 pm alumina on an irrigated wheel and then electropolished in orthophosphoric acid. and no extra energy cost for kink formation. Copper single crystal disks of orientation 100 and 110 were obtained from Monocrystals Incorporated. In the model advanced here. deposits on the (100) surface formed in the range from 20 to 100 mV were strongly anisotropic. suggesting that adsorption of sulfate at low overpotential and its expulsion at high overpotential plays a role in the transition. At 500 mV. deposits formed at 600 mV. Below 150 mV. (6d-f)). spiral growth with steps of a few nanometers appeared. In 2 mM HC1 (Fig. In reagent solution (Fig. This is similar to the 2 8 shape of depressions observed by Markovac in dissolution in sulfate solution. near the onset of hydrogen evolution. From 200 to 300 mV. It also suppresses formation of kinks in the stable (100) edge but not in the unstable (110) edge. the surface was dominated by truncated tetragonal pyramids with edges at an angle of 45 with the (100) direction. In all cases. At high overpotential. (4)) were similar except that truncated pyramids were produced at 200 to 300 mV. the singular surface reappears. The edges were oriented in the (100) direction. In the absence of chloride. (2)) at a low overpotential of 20 mV. and at 300 mV. (5)) . although some anisotropy was visible at 400 mV.2 Discussion The Cu(100) surface appears to undergo faceting/roughening transitions in sulfate solution as the concentration of chloride and the potential are varied. In reagent solution (Fig. (7d-f)). These grew by successive nucleation of layers with step heights of two to five nanometers. the main features were rough nodules whose edges showed no preferred orientation. In 0. The chloride overlayer imposes an energy penalty for addition of adatoms and the creation of steps. At 400-500 mV. Electrochemical Society Proceedings Volume 99-9 139 . On the Cu(110) surface in high-purity solution (Fig. probably because of precipitated CuCI. Instead. At 100 mV. The main features were truncated rectangular pyramids with edges parallel to the (100) direction. the surface was dominated by ridges extending in the (100) direction and interupted by (111) planes. (6a-c)). the ridges were bounded by facets on the (210) and (111) planes. Deposits formed in 0. The height of the step edges was two to five nanometers. layer growth was produced with isotropic edges and step heights of two to five nanometers. the main surface features produced on the Cu(100) surface were squares with edges facing the (100) direction. ridges formed along the (100) direction at 10 mV. This observation is consistent with the roughening models discussed in the introduction.-probably as a result of three dimensional nucleation and kinetic roughening. deposits showed little relation to the substrate orientation. At 200 to 300 millivolts. flat regions of the (100) orientation reappeared. layer growth was observed at overpotentials above 150 mV.1 mM HCI (Fig.1 mM HCI (Fig. (3)).3° Chloride stabilizes the Cu(100) surface as well as terrace edges oriented in the (100) direction on this surface. the surface was nearly isotropic. In 2 mM HCI (Fig. At 100-300 mV. the surface was dark and rough. were rough.Results In high-purity chloride-free solution (Fig. (7a-c)). the Cu(100) surface is rough at low overpotential. the layers were flat with edges along both the (100) and (110) directions and step heights greater than 20 monolayers. the deposit did not produce a square geometry. Comer and C. Comsa. A macroscopically smooth. Specifically adsorbed chloride stiffens the Cu(100) surface and restores the singular interface. 269 (1987). 10' 13 In either case. Liang.C. the faceted growth induced by chloride alone is incompatible with bright plating. Our results support the conclusion of Vogt et al that faceting of the Cu(100) 14 15 surface in chloride solution is a thermodynamic effect.W. C. Folkerts and L. 63 (1989). Chloride also stiffens (100) edges. Another possibility is that incorporation of the brightener or one of its components provides the roughening mechanism. J. Wollschlager. 12. Frenken.J. D'Amico.S.Z. E. Phys. The reappearance of facets at high overpotentials may be accompanied by expulsion of specifically adsorbed sulfate. J. Herring..S. but not (110) edges. 140 Electrochemical Society Proceedings Volume 99-9 .The observation of an ambient temperature roughening transition can be used to interpret brightening in a thermodynamic context. 1728 (1999). H.A. 52. K. Surf. Metois. Conclusion Copper immersed in solution may undergo adsorbate-induced roughening/faceting transitions at ambient temperatures. J. Zeppenfeld. Sci. 2447 (1987). B. and B. Grempel and J. Phys. David and G. 82. thermodynamically rough surface is bright. Smith eds. Hoogeman. Sinha.S. Luo and M. suggesting that this specie plays a role in roughening. and this effect is probably overwhelmed by other additives in practical bright plating. 8. Rev. Villain. Rev. Salanon. whereas a microfaceted surface is not. Rev. 11. D.L. Surf. Lapujoulade. K.. K. on the Cu(100) surface. Rev.M. Acknowledgements: This work was supported by the National Science Foundation under Gr. Phys. 82.. M. Henzler. 15. Fabre. L. Europhys. Kern.J. 82. they will promote thermal roughening. Lapujoulade. Phys. 737 (1987)...B. F. Ernst. 10. J. . 2. 3. Sci. J. 809 (1985). Gorse. Lapujoulade. 128. 5. 3. Growth. Phys.K. C. Immersion in CuSO4 /H 2S0 4 solution eliminates facets at low overpotentials. R. 52 (1995). Metois. Crys. 129. Kuipers and J. G. Lett. 44. Sirota. Hughes and S. Heyraud and J.J. J. 334 (1983)..3. J.R. Rev.Herring in Structure and Prooperties of Solid Surfaces. 9. Lett. 7. 6. CTS9306837 and CTS-9622634 References 1. University of Chicago Press (1953)..C. 59 (1983). Rev. E. Nos. R. 87 (1951). 44 (1991). D. J. 62.C. Lett. R. Heyraud and J.J. Kara. D. P. If brighteners are specifically adsorbed at high coordination sites. 4. Phys. Schlosser. M. B. J. 59.. Lett. Phys. F. Perreau and A. Schwenger. Klik. Magnussen and R.W. 111.J.B.L. Cambridge (1973). Sci. Stickney and C. Moffat. Stickney. Surf. Acta. Soc. Vogt. Behm. 24. Ehlers and J. 1801 (1988). 4. Moller. Chem. Soc.J. 1461 (1972)..B.A. N. 1313 (1961) 18... Stickney. C. 1368 (1988). Zangwill. 20. Proc. Sundquist. Soc. B. 15.. Chem.A. Stickney. 85 (1990). Gregory. Sci. Soc.B. W. Villegas. 29. London. Behm. 451. W. 49 (1998). Soc. J. Alkire and A. Cambridge University Press. Bard. Electrochem. C. 399.M.U.M.E. A243. Surf. 23.B. Electrochem. F. Soc. 3122 (1996). 403 (1990). Cabrera and F.W. Ehlers and J. 137. D.13. 22. 25. Magnussen and R.R. 239. Hope. Stickney.M. Ehlers.L. Roy. Suggs and A. Vac. 17. J. Schmidt. 119. 284. 14. Stickney.. J. Cambridge University Press..L. O.. Physics at Surfaces. Villegas and C. J. I. Am. J. R.A. Sci.L. 585 (1964).. 382. 367.P. Markovac. J. 143.M. A7. J. Chem. G. 6473 (1989). Res. Phil. C. Woodruff. 6.P. O. J. Villegas and J. Sci. Chem.K. C. Technol.R..L. Soc. V. 10725 (1994) 27. Langmuir. The Solid-Liquid Interface. Electroanal. Cambridge (1988). 3143 (1990).B. Gewirth. Mag. Electrochem. C.L. Ehlers and B. J. 299 (1951).J. T.. Mat. L33 (1996). Vogt.. Ehlers. 179 (1995). W. Electroanal. 12. I. M. Am. J. Mullins. M. Burton.. 26.. Metall. Trans. I. 75 (1997). Schilz. 28.W. Ehlers.B. 16. Brown and G. Lachenwitzer.. A. 19.C. 21. D. 30. 116. Surf. Frank. Electrochemical Society Proceedings Volume 99-9 141 . J.C. A. terrace edge. d. e. b. c. Cu(IO0) surface with chlorine overlayer. kinks on the (100) edge. 142 Electrochemical Society Proceedings Volume 99-9 . kinks on the (110) edge. (100) direction. (110) direction. Adatoms.aC b c e Figure 1. The lower copper layer is shown in light gray. a. terrace edge. the upper copper layer in dark gray and chlorine in white. Electrochemnical Society Proceedings Volume 99-9 143 .00 c d e -d Figure 2. AFM deflection images of Cu(100) in high-purity solution..a b z. 144 Electrochemical Society Proceedings Volume 99-9 . AFM deflection images of Cu(100) in reagent solution.a b I Md ef Figure 3. solution. Electrochemical Society Proceedings Volume 99-9 145 . AFM deflection images of Cu(IOO) in 0.a b c d Figure 4.1mM CI. solution.0mM Cl.00 c d I~w 0 Figure 5. AFM deflection images of Cu(100) in 2. 146 Electrochemical Society Proceedings Volume 99-9 .i1 II 1. AFM deflection images of Cu(1 10) in high-purity solution (a-c) andin reagent solution (d-e).a b C d e f Figure 6. Electrochemnical Society Proceedings VoIlume 99-9 147 . a b Figure 7. oM 148 Electrochemical Society Proceedings Volume 99-9 .0 chloride solution (d-e).1 rMlv chloride solution (a-c) and in 2. AFM deflection images of Cu(1 10) in 0. 5 with pyrophosphoric acid (H 4P 2 0 7 ). All potentials are given with respect to the reference electrode (0. The TiN layer was rf sputtered at room temperature for about 1 minute (V. Since the n-Si/TiN contact is ohmic. Searson Department on Materials Science and Engineering Johns Hopkins University Baltimore.22 V vs. Experimental The substrates for deposition were prepared by sputter deposition of 30 nm TiN on nSi(100). AG). Hoffmann and Peter C. The aqueous 50 mM Cu(II) solution was prepared from 25 mM Cu 2P 2O7 "3H 20 with 0. the open-circuit Electrochemical Society Proceedings Volume 99-9 149 . the nucleus density increases exponentially with applied potential. Introduction Copper deposition onto most diffusion barrier materials occurs through VolmerWeber island growth [1. We show that deposition occurs through the instantaneous nucleation of hemispherical clusters followed by diffusion-limited growth over a wide potential range. = 1 x 10"'cm 3 (Wacker Siltronic. In all cases ohmic contacts were made to the back side of the silicon wafer using InGa eutectic. this method avoids limitations associated with the sheet resistance of the TiN layer. Peter M. The pH of the solution was adjusted to pH 8. we report on the electrochemical deposition of copper on a 30 nm TiN barrier film from pyrophosphate solution. Results and Discussion Figure 1 shows current-potential curves for TiN in 0.2 M K4 P20 7 . The experiments were performed under ambient conditions using a conventional three-electrode cell with a Ag/AgCI (3 M NaC1) reference electrode connected via a Luggin capillary and a platinum gauze counter electrode. From the equilibrium constants. NHE). with and without 50 mM Cu(II) at a scan rate of 10 mV s-1 . we determine that > 99% of the Cu(II) is present in the form of Cu(P 20 7 ) 6 -. MD 21218 Abstract In this paper. In the 50 mM1 Cu(II) solution. In order to electrochemically deposit continuous thin films it is essential to develop a fundamental understanding of the mechanism of nucleation and growth as a function of solution chemistry and applied potential. Long. In this potential regime.Deposition of Copper on TiN From Pyrophosphate Solution John G. In this paper we report on the deposition of Cu on unpatterned TiN surfaces from pyrophosphate solution. = 620 V).2]. N.25 M KaP 2 0 7 . Aleksandar Radisic. After the deposition peak.for Cu 2÷ [71 due to complexation of the copper ions. the 0.3 V. the onset for copper deposition is shifted to about -0. On the second cycle. Subsequent scans are essentially equivalent to the second sweep and suggest that the that the deposition and dissolution of copper on TiN/Cu is a quasireversible process.4]: tm 2 150 Electrochemical Society Proceedings Volume 99-9 . From plots of i 2 vs. These results confirm that at long times in the measured potential range. the current again increases at a potential of about -1.. Also shown are the growth laws for diffusion-limited growth of 3D hemispherical clusters.potential was 0. The reverse scan in the 50 mM Cu(II) solution shows a steady-state. Figure 3 shows selected deposition transients replotted in dimensionless form.9 V to -1.5 V.6 cm 2 s. The nucleation and growth process is characterized by a current peak where the deposition current first increases due to the nucleation of copper clusters and three-dimensional diffusion-controlled growth. The mechanism of nucleation and growth was determined by analysis of deposition current transients as a function of potential.. The deposition transients are characterized by a maximum current. and then decreases as the diffusion zones overlap resulting in one-dimensional diffusion-controlled growth to a planar surface [36j.5 V plotted on a semi-log plot. At potentials positive to -0. followed by a small peak at -0. This value is somewhat smaller than the value of 6 x 10.ax). i. Similar features have been reported for copper deposition from borate solutions [2].75 V and a characteristic diffusion-limited growth peak at -0. Figure 2 shows a series of current transients for copper deposition on TiN from 50 mM Cu(lI) solution for potential steps from the open-circuit potential to deposition potentials in the range from -0. diffusion limited deposition current density of about 2 mA cm-2 over a wide potential range.2 V due to hydrogen evolution resulting from the reduction of water at copper clusters on the TiN surface.x) the transients exhibit a small second peak possibly due to renucleation on the existing clusters. The time-dependent deposition current density (normalized to the geometric surface area) for instantaneous nucleation followed by three dimensional diffusion-limited growth is given by [3.2 V shift in the deposition peak indicates that a nucleation overpotential is required for the deposition of copper onto TiN..25 V.95 V. After the current maximum (t > t.6 cm 2 S-Iover the potential range from -0. the diffusion coefficient for Cu(P 20 7 )6 was determined to be between 1 x 10-6 and 2 x 10. linear diffusion to a planar surface is the rate limiting step in the deposition process.9 V to -1. a stripping peak is observed corresponding to the removal of about 630 equivalent monolayers of copper (assuming 100% Faradaic efficiency).. that occurs at time tmax. and the first cycle was initiated from this potential.5 V. the transient deposition current decreases with . t.. The onset of Cu(II) reduction on the first scan occurs at about -0.11 V. At longer times (typically t > 3t. Since the copper deposited during the first cycle is not completely stripped from the surface. the deposition current at long times is larger than predicted by the instantaneous nucleation model due to water reduction on the copper clusters. The normalized current density for instantaneous nucleation followed by diffusion limited growth is given by: • 1 =1942F i2 = 1.3 V follow the theoretical growth law for instantaneous nucleation followed by diffusion limited growth.2 . t_ and i.3 3 6 7 t2 tmax ] {4} t From Figure 3 it can be seen that the deposition transients in the potential range from -1.4 V.4]. ...2254 S2= tma m -exp . N.2564 NoirD(87cOV)I/ 2 5 max - (51 imax = 0. is the bulk concentration.2564 t__ tmax (3 {3} max L For progressive nucleation.6382zFc0D(8itc0V) 16)No Electrochemical Society Proceedings Volume 99-9 151 .1/2 1/22 L1 exp N 81coV)t)]1 where c. For progressive nucleation. According to the model for instantaneous nucleation followed by three dimensional diffusion limited growth [3. the normalized deposition current is given by: 2 'max 1. is the nucleus density. and V is the molar volume of the deposit.1 V to -1. At potentials negative to -1.942tmax 1 _exp(_l1. the time-dependent deposition current density is given by: i~)=zRcD 1 2 1 exp(4 kND(21t 3cOV /2 t2)](2 E Pi 7t1/2 t /2 L (2 where k is the (first order) nucleation rate constant. At more positive potentials the transients follow the instantaneous nucleation growth law at short times but then deviate at longer times due to the second peak. are given by: / tmx= 1. J. 3. Phys. Electrochem. Weinheim (1996).. 138. 879 (1983). 152 Electrochemical Society Proceedings Volume 99-9 . and W. E.8] where No . Soc.87 indicating that the potential dependencies of t.. Montenegro. G. Oskam. Budevski. Chem. J. R. Analysis of deposition transients shows that deposition of copper on TiN from 50 mM copper (II) pyrophosphate solution proceeds through instantaneous nucleation of three dimensional hemispherical clusters and diffusion limited growth. on the deposition potential. From the values of the slopes we obtain a coefficient of 1. Long. The slopes of the linear regions in the two plots are aU/alogt. D: Appl. The suggest that the nucleus density is the only potential potential dependence of i. P.) = -447 mV/decade. J. J. consistent with classical nucleation models [5.. Oskam.Figure 4 shows the dependence of t. Staikov. C.. Scharifker.. = 240 mV/decade and aU/alog(-i_. Lorenz. B. Electrochemical Phase Formation and Growth. Electroanal. and B.6 to 2 x 10. VCH. The exponential dependence of the nucleus density on potential suggests thermal activation of nucleation sites. Acta. Scharifker. and i... Acknowledgements This work was supported by SRC and the National Science Foundation under grant CTS-9732782.. J. J. Phys. 5. 225 (1982).. J. 2. Vereecken. Assuming that the nucleus density No is the only potential dependent parameter in equations {5) and (6) then we obtain aU/alogi_. 1927 (1998)...6 cm2 s-'. 31. = 2 alU/alogtm. and P. and G. Gunawardena. and tin.exp(-eAU/kT). M. are Equations (51 and (6} can be combined to give the following expression for the nucleus density: / -1/2( "2 N0 =0. A. Determination of the diffusion coefficient from the current maximum and analysis of the current decay using the Cotrell equation yielded values of 1 x 10... G. Hills. R. G. 1436 (1998). 4. Searson.65 ) 8c 0 VJ 1 zFc0 Himaxtmax 2(7) Figure 5 shows the potential dependence of the nucleus density obtained from analysis of the current transients according to equation (71. G. and imax determined by the potential dependence of N0. 28. Hills. G. Searson. Electrochim.. 146.. References 1. I. and P. slightly lower than the value for Cu2 * ions due to the presence of the pyrophosphate ligand. G.a dependent parameter.. C. Natarajan. 0. -1. Ag/AgCI) 0. Xu. Current-potential curves for TiN in 0... Kinetics of Phase Formation. 0 -0..15 V.1 V).00 V. Southampton Electrochemistry Group. Quickenden and Q. (1990). -1. The first scan (1) was initiated at the open-circuit potential (-0.1 1 ..05 V. M. 1248 (1996). and -1.. Ellis Horwood.25 V. 143.5 Figure 1...01 0..95 V. . 0. .35 V.005 -1.5 0 U (V vs. -1... T. J. -1.30 V. Instrumental Methods in Electrochemisty.10 V. Steinkopff. Volmer. New York. 8. Dresden (1939).. 7.5 b 2 -1 -0.6. -1.20 V.40 V. Current transients for the deposition of copper on TiN at (from top): -0. -1.25 M K4P20 7 with (a) 0 and (b) 50 nM Cu(II) at a scan rate of 10 mV s-'.. Soc.. I. 5v. 10 100 Time (s) Figure 2. -1.02 0.9 V.50 V Electrochemical Society Proceedings Volume 99-9 153 ._ . -0. .01 . -1. Electrochein.005 0 -0..45 V. -1. -1.015 0. . 1-115V 1 2 3 1 2 I 3 o 0 C 0 1 2 3 11 0.2 1 2 3 - 0.20 V.0.4 0.4 0.2 I 2 3 C. -1.6 0.8 E 0.10 V.2 (-1. 154 Electrochemical Society Proceedings Volume 99-9 .6 0.95 V.95V 0 1 -I 0. 4 0.2 -105V 0 1 0. -1.3V 1 t/tmax 2 3 Figure 3.6 0.8 0. -1. -1.8 F 0.2_ -0.8 0. 0.15 V.-. Also shown are the theoretical curve for instantaneous (dashed line) and progressive (solid line) nucleation.4 0. 0 j-1.80.6-.2 -1. Reduced parameter plots for selected transients for the deposition of copper at -0..05 V.2V 0 0 1 t/tmax 0.8 E 0.6 0. and -1.4 0.30 V.4 0.6 0. 5 -1.9 Potential (V vs..5 -1.1 -0. Electrochemical Society Proceedings Volume 99-9 155 .. 108 .3 -1.3 -1.10"1 I . Ag/AgCl) Figure 5. obtained from the current transients plotted versus the deposition potential.1 U (V vs.3 -1. 100 10l-2 10l 103 1T 17 1 0 -4 I 0.. Ag/AgCI) Figure 4.9 U (V vs.1 -0.1 -0.9 -1.. I 8 _ 0 0 106 0 S107o z 00 0 0 105 -1. Ag/AgCI) -1. Nucleus density determined from deposition transients plotted versus the deposition potential. Potential dependence of t.5 -1. and ia. Prior to the measurements. In this study we investigated the reduction of copper ions on Si surfaces in HF solutions and we examined the effect of adding HCI to the HF solutions.1. RESULTS AND DISCUSSION Figure 1 shows current-potential curves obtained at n-type (a) and p-type Si (b) in a I M H4F + 0. K.1 M HC1 and I M HF + I M IICI.1 Ohmic contacts on the backside of the samples were made by applying a Ga-In alloy.7-5x 10'" cm. At n-type Si. ultra-clean Si surface. Mertens' and M. B-9000 Gent. At more negative potentials the cathodic current increases exponentially due to the reduction of protons at the Si electrode partially covered with Cu. = 2. only a very small cathodic current is measured. B-3001 Leuven. This procedure results in an oxide-free. Kapeldreef 75. All electrochemical experiments were carried out in darkness. The electrochemical experiments were performed using a conventional three-electrode cell containing a platinum counter electrode and an Ag/AgCI reference electrode.3-6. EXPERIMENTAL Si samples were cut from n-type (N. Teerlinckl. Belgium 2 Universiteit Gent. The samples were cleaned by immersion in a H2SO 4/H202 (volume ration 4/1) solution at 100°C followed by a 0. Under anodic polarization only a very low anodic saturation current is measured. Strubbe2 . high-purity N2 was bubbled through the solution in order to remove dissolved oxygen. Heyns' IIMEC. We studied the reduction mechanism of copper ions in the following solutions: I M HFE + 0. INTRODUCTION The mechanism of an electrochemical reaction at semiconductor electrodes depends upon the position of the redox Fermi level in solution with respect to the position of the bandedges of the semiconductor. During the measurements an N 2 blanket was maintained above the solution.M.1 M HSO.1 M H2S0j and in I M HF .W.8 V.5x 10'' cm-3) Cz Si(100) wafers.3) and p-type (NA = 4. the onset of hydrogen evolution is observed at about -0. since no conduction band electrons 156 Electrochemical Society Proceedings Volume 99-9 . At p-type Si.ELECTROCHEMICAL STUDY OF COPPER DEPOSITION ON SILICON SURFACES IN HF SOLUTIONS 1. The presence of copper ions in the solution also results in a significant increase of the anodic current at n-type. Krijgslaan 281. 2 The voltammogram recorded in the copper containing solution shows a cathodic current peak in the forward scan. hydrogen-terminated.1 M HCI solutions the reduction of copper ions occurs by hole injection. Laboratorium voor Fysische Chemie. Copper was added in the ItF/H 2S0 4 and HF/HCI solutions as CuSO 4 and CuCI 2.5% HF dip at room temperature. All potentials are given with respect to the Ag/AgCI electrode.1 NI lI 2S0 4 with (full line) or without (dashed line) 5x l0-4 1\ CuSO 4 . due to the absence of holes required for the anodic oxidation of Si. in the absence of copper ions.P.28 cm 2 ) was defined using a Viton washer in a PCTFE holder. in the absence of copper. respectively. The Si electrode surface exposed to the solution (0. W. From voltammetric measturements it is found that in I M HF [F+ 0. In I M [IF + I M HCI solutions the reduction occurs by electron capture from the conduction band. Belgium We studied the electrochemical reduction of copper ions at n-type and p-type Si electrodes in I M HF solutions. P.0. IM HF + 0. attributed to the reduction of copper ions. Gomes . The addition of HCI is found to have no effect on the position of the bandedges. At ti-type Si.. Soc.are avai able 1or proton reduction.. electrons are thermally excited to the conduction band. This follows from the fact that the reduction of copper ions in this solution occurs by electron capture from the conduction band and not by hole injection. I lowever. The presence of copper in the solution has no effect on the flat band potential for p-type Si. 1296 (1997).3 eV. 144. Inc. At p-type Si under depletion the injected holes are driven towards the bulk of the substrate. 518. From the Mlott-Schottky measurements it follows that the change of the reduction mechanism Cor copper ions from a valence band to a conduction band mechanism by the addition of I M 1-CI can not be attributed to a shift of the position of the bandedges of the Si. ECS Fall meeting 1993 (ECS. Upon addition of 5x 0-4 M CuCI 2 there is also no shift of the flatband potential of n-type Si. This electron injection results in an increased anodic current measured at n-type Si in the presence of copper ions in the solution. This shows that in a I NI IIF + 1 HC I. In these solutions the copper reduction occurs by hole injection.1 M HCI solution with (full line) or without (dashed line) 5x 10-4 M CuCl1 obtained at n-type and p-type Si are shown in Figuitre 2(a) and 2(b). a reduction current attributed to the reduction of copper ions is still observed.8 eV and E. we only observe a very small cathodic current at p-type Si in copper containing solutions. fherefor no shift of the flat band potential is expected for p-type Si. . Pennington.4 NI CuCI 2.F. Cyclic voltammograms obtained in a 1 M HF + 0.Nieuris ei el. Vanmaekelbergh. Under depletion conditions the holes injected into the n-type by the copper ions remain at the surface.1 M H 2 SO 4 solution With or without 5x 10-4 M CuSO 4 and Figure 5 shows the results in I M HF + 0. 1994) p.1IM HCI with or without 5x1(. resulting in the formation of electron deficient surface bonds. Electrochemical Society Proceedings Volume 99-9 157 . while a fluorine ion bonds to the surface Si atomn.solution the reduction of copper ions occurs largely by electron capture from the conduction band. REFERENCES NIl. This result shows that the reduction of copper ions occurs by a valence band reduction mechanism. Electrochemn. i. Figure 6 shows Mott-Schottky measurements in I M HF + I M HCI solutions with or without 5x]04 M CNICI2.. Kooij and D. = 0. hole injection.. Due to the highly polar nature of this bond this results in an increased negative charge at the Si surface resulting in a negative shift of the bandedges. The first step of the anodic dissolution of Si requires the presence of valence band holes at the Si surface.e. Ihowever. resulting in a cathodic current at p-type Si and an increased anodic current at n-type Si. Proc. in the presence of copper ions in thie solution a reduction current is clearly observed at p-type Si. For n-type Si however we observe a shift of 160 mV to more negative potentials. Figure 3(a) and 3(b) show cyclic voltammograms obtained in a I N\ HF + I M 1tC1 solution with (full line) or without (dashed line) 5x 10-4 M CuCI 2 obtained at n-type and ptype Si. respectively. J. Therefor NNe suggest that the addition of I M HCI results in the formation of cupric and cuprous chloride species resulting in a shift of the redox Fermi level. The bandedges estimated from the results are Ecb = -0. Figure 4 shows the results obtained in a I M HF + 0. It is seen that the addition of I M HCI has no effect on the position of the Si bandedges. respectively. 2 After this initial hole capture. This results in an increased etching of the Si surface and therefor in an increased surface concentration of Si-F bonds. Also in this solution the reduction of copper ions is found to occur by hole injection. To estimate the position of the bandedges we performed Mott-Schottky measurements.S. 1 (a) (b) 0.005 -0.9 -0.6 U vs Ag/AgCI (V) 0 Figure 1.. Current-potential curve for (a) n-type and (b) p-type Si in darkness in a I M HF +.8 -0.2 -0. 0.02 -1.01 -0.----.4 0 U vs Ag/AgCI (V) Figure 2.-.0.9 -0.5 mM 0.5 mM CuCI2 .01 (b) CuSO 4 .-.05 -0.1 0.2 -0.3 0 U vs Ag/AgCI (V) Figure 3.i E -0.2 -1 2 -0.01 -1.-.3 -0.-.1 -1.4 U vs Ag/AgCI (V) 0 0. E 0 -0.-.-.5 mM CnCI2.-.8 -0.05 0 /E . 158 Electrochemical Society Proceedings Volume 99-9 .8 0 -0..1 -1.4 U vs Ag/AgCI (V) 04 -0.4 U vs AgIAgCI (V) 0. Current-potential curve for (a) n-type and (b) p-type Si in darkness in a 1 M HF + 0.2 -0.05 -0.1 NI 112SO4 with (full line) or without (dashed line) 0..4 -0.2 -0.05 (a) 0.I M HCI with (full line) or without (dashed line) 0.4 -0.2 -1.----- -0.6 -0.1 M HCI with (full line) or without (dashed line) 0.8 0 -0.1 -0..2 -0.1 0.- . Current-potential curve for (a) n-type and (b) p-type Si in darkness in a I M HF + 0.005 (a) (b) 00---- 0 -.1 0 -- -0.. 5 -075 ns 0 075 U vs Ag/AgCI (V) 1.5 -1 -0. o -14 -0. 6 Figure 5. (measuring frequentie 15 kHz).1 M HCl with (open circles) or without (full circles) 0.1 M H2 S0 4 with (open circles) or without (full circles) 0.8 4 6 3 _ Sp-Si "-Si 'E 4 o 2 on-Si 'E 0 2 p-Si U.5 mM CuC12 (measuring frequentie 15 kHz).5 Figure 6. 4 E n-sl b 2 0 -1.5 mM CuSO 4 . 1I.7 0 U vs AgIAgCI (V) 14 0 -1. Electrochemical Society Proceedings Volume 99-9 159 .7 0.5 mM CuLCI 2 (measuring frequentie 15 kHz). Mott-Schottky plots of n-type and p-type Si in 1 M HF + 0.5 0 U vs Ag/AgCI (V) 05 Figure 4. Mott-Schottky plots of n-type and p-type Si in I M HF + 1 M HCI with (open circles) or without (full circles) 0. Mott-Schottky plots of n-type and p-type Si in 1 M IIF +0. sanz@dept. tools for silicon characterization (defect revealing.Charge exchange processes during metal deposition on silicon from fluoride solutions P. and the deposition of Ni can only be achieved at high pH where it is kinetically faster. Nickel ions may exchange charge with both the conduction and the valence band. Universit6 Pierreet Marie Curie. Several factors must be taken into account to dilucidate the mechanism. Barcelona E-08028 P. Platinum ions reduce to metallic Pt by injecting holes into the Si valence band. The reduction of Ni ions competes with hydrogen evolution. J. E-mail: f. Metal ions can be reduced and deposited on the silicon surface when they withdraw electrons from the substrate. including metal deposition and hydrogen evolution. Sanzi. but different effects can be expected if the transfer of electrons is done with the conduction band (CB. Marti i Franquds. Thus Pt ions act as an oxidizing agent for silicon. 1. and the chemistry of the silicon surface in the solution under study. The results are interpreted in terms of the coupling between the anodic dissolution of silicon in fluoride media and the cathodic reactions.es 160 Electrochemical Society Proceedings Volume 99-9 . Morante Departamentsde Quimica Fisica i Electr6nica. free electrons) or from bonding levels (valence band. and result in the simultaneous formation of photoluminescent porous silicon under certain conditions. Allongue CNRS UPR 15. R.Universitat de Barcelona. F.ub. namely the chemical potential of the metal system in solution. Tour 22.qf. The experimental energy diagrams can be sketched to give an 5 Corresponding author. the energy of silicon bandedges and the band bending at a given pH. Place Jussieu. The role of silicon surface states as reaction intermediates is discussed. INTRODUCTION The interest of metal deposition on silicon from fluoride solutions arises from several areas: plating processes (usually as the activation step) [1]. Gorostiza. ParisF-75005 The deposition of platinum and nickel on silicon from fluoride solutions at the open-circuit potential is studied under potentiostatic control. junction delineation) [2] or studies of the damaging effects due to metallic contaminants in cleaning solutions [3]. 4. R. Diaz. VB). p-Si electrolyte --500 n-Si electrolyte -1000c p-Si / Conduction Bandedge -0 -500- NEIFN' M Pt*41Pt° . For example. left). is located within the silicon bandgap and it cannot in principle exchange ions directly with either of the bands (figure 1. In the steady-state situation at the open circuit potential (OCP). Results concerning the deposition of Pt and Ni are presented. and given a set of conditions.insight into the possible charge transfer processes. the reaction having faster kinetics will be the prevailing one. HER) or the reduction of metal ions if they are present in the solution. Electrochemical Society Proceedings Volume 99-9 161 . Thus the OCP of the system is the potential leading to the same rate for the two reactions ("mixed potential"). right). the oxidation current through the silicon surface is balanced with a cathodic current of the same amount and opposite sign. such as to yield net zero current. Fluoride solutions are known to etch the silicon oxide and even silicon itself.500 ValenceBandedge 0- 500 Potential E /mV vs SCE Potential E ImV vs SCE Figure 1: Energy diagrams showing the relative positions of the silicon bandgap and the chemical potential of platinum ions (left) and nickel ions (right) influoride solutions. depending on pH and the availability of holes at the surface. The two half-cell reactions usually occur at different sites of the surface. platinum II and IV levels in solution lie close to the silicon VB and thus hole exchange between them can be significant (figure 1. The cathodic current may be due to the reduction of protons or water molecules (hydrogen evolution reaction. including the analysis of their coupled effects with the silicon oxidation reaction. Actually a competition between both cathodic reactions is established. namely cathodic (metal nucleation) sites and anodic (substrate corrosion) sites. however. Ni2+/Ni redox energy level. as corresponds to a diffusion-controlled process [5]. and all other solutions were freshly prepared from reagent-grade chemicals (Merck) and MilliQ water. RESULTS AND DISCUSSION Platinum deposition Due to the overlap between the Pt 4÷/Pt redox level in solution and the Si VB (figure 1. and in order to have a well-defined departure surface. the silicon will be simultaneously oxidized. The value of the plateau (hole injection current) depends on the concentration of Pt 4* ions in solution and in the stirring conditions. TEM (Philips CM-30) and tapping mode AFM (Nanoscope Ill).e. Prior to the experiments. Whenever holes are captured at the surface in the presence of fluoride ions in the solution. Figure 2 shows a TEM cross-section of the PS-like layer that is formed at the anodic sites around the deposited Pt nuclei. reproducible measurements of the Si flatband potential using the Mott-Schottky method can be obtained. Electrochemical measurements were performed in a teflon cell using the standard three-electrode configuration and in darkness unless otherwise specified. In the conditions employed in the experiments. Concentrated HF was used to remove the Si oxide. The potentiostat was a Solartron Electrochemical Interface 1287 and capacitance measurements were performed with a Solartron Frequency Response Analyzer 1255 at 25 KHz. samples were electropolished as described in [4].EXPERIMENTAL The silicon (100) substrates were cut from n. Samples were inspected by SEM (using either a Leica Stereoscan S-360 or a Cambridge S-120 equipped with energy dispersive X-ray analysis). This occurs even at the OCP and leads to Pt deposition. Thus the Si substrate undergoes an oxidative process in the PS regime simultaneous to (and as a result of) the Pt deposition at the OCP.cm. Ohmic contacts were obtained by painting the rear side with InGa alloy. Platinum deposition solutions were 2 M fluoride (pH=l) and 1 mM K2PtCl6.and p-type wafers (Siltronix) having a resistivity of 1 0. Nickel deposition solutions were 50 mM NiSO 4. In this way. Pt ions can easily withdraw electrons from the VB (i.6H 2O in 5 M fluoride at pH<1 and pH=8 (prepared from concentrated HF and NH4F respectively). The hole injection current can be measured as a cathodic plateau in the I-V plot of a p-type electrode. while the PS regime spans 20 mA/cm 2 in a 2 M fluoride solution [7]. As a consequence of the PS formation. inject holes). whereas larger currents result in the condensation of an oxide at the silicon surface (electropolishing regime) [6]. samples deposited in this way 162 Electrochernical Society Proceedings Volume 99-9 . hole injection currents of a few hundreds of pA/cm 2 were obtained. It is well known that relatively low oxidation currents in fluoride media lead to the formation of porous silicon (PS). left). The nuclei are buried in the substrate and a porous layer has formed around. because the H*/H 2 redox level is more negative than Pt4*/Pt at this pH. This point is further developed in the case of Ni. Pt reduction is kinetically faster than the HER as the cathodic reaction.19 ML/s) than on p-Si (0. and is in agreement with the fact that Ni could not be deposited from low-pH fluoride solutions neither at the OCP nor under negative bias. In addition. The appearance of n. display visible photoluminescence [7]. Electrochemiical Society Proceedings Volume 99-9 163 . In a coarse approach. Increasing the hole injection current due to Pt ions (by increasing Pt concentration or solution stirring) or decreasing the fluoride concentration can lead to the formation of oxides in the electropolishing regime. increasing solution pH up to 8 enabled Ni to deposit on the surface either by hole injection or by electron extraction (figure 3). whereas it is practically unchanged in p-Si either in the dark (4C) or under illumination (4D). while the relative position of the Ni level and the Si bandedges remains essentially unchanged.and p-type samples is also quite different: when compared with solutions free of Ni ions (figure 4A). Pt reduction is spontaneous because it depends little on the band bending or the silicon type (there are always bonding electrons available at the surface) and therefore Pt deposition can be regarded as the initiating step of the overall reaction. Nickel Deposition [8] The Ni2 /Ni redox level lies far from both the CB and the VB. so that in principle there is no charge available for deposition.02 ML/s). However.Figure 2: TEM cross-section showing two platinum nuclei deposited on n-type silicon. This is shown for pH<1 in figure 1 (right). the n-Si substrate roughness is strongly enhanced by Ni deposition (4B). Furthermore. voltammetry (stripping) measurements yield an OCP deposition rate ten times larger on n-Si (0. and that electrons can also be withdrawn from the CB under illumination (upper).psi illumination a) 1 )-2 -10 5 -0. The Si etching reaction can be outlined as [9]: Si-H -> Si" + H*+ e Si' + H20 -. namely hydrogen evolution (HER): 2H÷ + Si' + e' H2 0 + Si" + e' Si-H + 1/H 2 Si-H + OH' (2a) (2b) -- and Ni deposition by either the VB or the CB: Ni2÷+ Si" --> Si-Ni + 2h+ Ni2+ + Si" + 2e -> Si-Ni (3a) (3b) 164 Electrochemical Society Proceedings Volume 99-9 .5 nm/min at pH=8 [9]. The radical Si* represents a Si atom with one unpaired electron and is also involved in the cathodic counter-reactions. since they are more dissociated as the pH increases.03 nm/min at pH=l and 0.Si-OH + H* + e * Si-OH + 3HF (or 3H 20) .SiHF 3 (or SiH(OH 3) (la) (Ib) (lc) where the rate-determining step is (la) and the overall rate for steps (lab) is highly pH-dependent: 0.0 Potential E I V vs SCE Figure 3: Voltammograms showing that holes are injected from nickel ions into the p-Si VB (lower). The reported results can be explained by considering the different processes occurring at the OCP on the Si electrode immersed in a fluoride solution.•'5. 3 . Si-H bonds can be regarded as weakly acidic.5 0. The fact that Ni is deposited at pH=8 and not at pH<1 can be explained within the framework of the above set of reactions.03 ML/s) is closely related to the experimental determination (0. (A) Blank solution. At pH=8. In other words. the mixed potential is defined by dissolution and the Ni VB deposition (reaction 3a). probably Electrochemnical Society Proceedings Volume 99-9 165 . p-Si in the dark. This is supported by the fact that the hole injection rate measured from figure 3 (equivalent deposition rate 0. the situation depends on the type of substrate. The substrate RMS roughness is indicated. This small deposition rate is consistent with the AFM images.02 ML/s).for 20 min.1 nm/min). In the case of pSi. 2 (D) 50 mM Ni *. Figure 4: AFM images of the silicon surface after immersion in 5M fluoride solutions at pH=8 Therefore the anodic and cathodic reactions are coupled through the formation of Si" sites. The mixed potential is thus established without participation of the Ni2+ ions. The kinetics of HER is actually faster than the reduction of Ni2+ ions since the redox potential Eo[Ni2 /Nil < Eo[H+/H 2]. (C) 50 mM Ni 2 *. At pH<1 two facts are against Ni deposition: (i) the Si dissolution rate is very small (<0. p-Si under illumination. (B) 50 mM Ni 2 *. and (ii) dissolution is simply balanced by the HER. n-Si in the dark. the weak dissociation of Si-H bonds and the strong concentration of protons at low pH favor the HER as cathodic counter-reaction. which cannot even withdraw the bonding (VB) electrons of the SiH bond (hole injection). showing a surface rather homogeneous. the silicon oxidation current is balanced with a cathodic current such as to yield net zero current. Appl. S. Bernard. Jeon. In the steadystate situation. 166 Electrochemical Society Proceedings Volume 99-9 . 136 (1989) 456. the same rate of deposition should be expected at n. In the case of platinum. the density of electrons at the surface of n-Si (calculated from the band bending) is about 108 times larger than in p-Si.and p-Si electrodes.with the AFM images. Allongue. S. M. lOP Publishing. H. the prevailing cathodic process is platinum deposition by hole injection into the valence band. In nickel solutions. J. B. The image suggests indeed that the dissolution of n-Si is enhanced by the presence of Ni ions. R Mickelson. Indeed. H. REFERENCES (1] C. J. Sanz. J. R. G.293. Ting.026 ML/s). On the contrary. The increased deposition rate at n-Si must therefore be attributed to the CB process as counter reaction at the OCP. Electrochem. Lett. The process is seemingly autocatalytic. Soc. L. at pH<1 the hydrogen evolution reaction is kinetically faster and nickel deposition is not observed. The rate of deposition (0. On n-Si the mixed potential is therefore mainly defined by the dissolution (anodic process) and Ni CB deposition (cathodic process. Parks. The anodic and cathodic processes are coupled through the formation of silicon surface states. E. reaction 3b). This confirms the coupling between metal deposition and dissolution. Eo[Ni 2+/Ni] > E0 [H+/H 2]. The HER does not interplay because it is kinetically slower: at pH=8. Raghavan. Li. 67 (1995) 941. J. editor. Bristol UK 1996. Morante. A. in Defect Recognition and Image Processingin Semiconductors. Soc. F. G. [3] X. which explains the roughness increase after immersion in the Ni solution (figure 2AB). Pinsard. Gorostiza. If Ni deposition was also occurring through the VB process at n-Si. either through a valence band process on p-type silicon or through a conduction band process on n-type. Phys. de Villeneuve. J. a competition is established between nickel reduction and hydrogen evolution: at pH=8 metal deposition is the prevailing reaction. Vermeire. Cheng. Servat. p. CONCLUSIONS An electrochemical study of platinum and nickel deposition on silicon from fluoride solutions at the open circuit potential is presented. C. Electrochem. through the formation of Si' sites (see above).5 nm/min [9] is equivalent to 0. C. H. showing a surface rather homogeneous. Paunovic. 145 (1998) 352.19 ML/s) is about 10 times the nominal dissolution rate of Si in the corresponding solution (0. probably covered by a very thin Ni layer. A. [2] P. Kneer. M. [4] P. ElectrochimicaActa. [9] P. Gorostiza. A. 40 (1995) 1353. J. in press. Morante. Electrochem. [6] F. M. Gerischer. Kieling. J. Electrochem. J. R. Ozanam. R. 135 (1988) 2782. J. submitted. M. Chazalviel. Diaz. Gerischer. Kulandainathan. F. F. R. Chem. Electron Spectrosc. R. [7] P. J. N. P. Sanz. Gorostiza. Allongue. 64-65 (1993) 395. Kulandainathan. V. [8] P. Electrochemical Society Proceedings Volume 99-9 167 . Lubke. Sanz.[5] H. Allongue. Diaz. Electroanal. Morante. J. M. H. Soc. Soc. A. J. Nanyang Avenue. The effect of heat treatment on the electroless plated Cu film under inert. INTRODUCTION As the ULSI device dimensions approach the submicron region.EVALUATION OF EFFECTS OF HEAT TREATMENT ON ELECTROLESS DEPOSITED COPPER Kai Yu Liu. Wang Ling Goh and Man Siu Tse Division of Microelectronics. its oxidation rate. revealing an increase of the intensity ratio 1(111)/1(200) of the (111) and (100) X-ray peaks. CVD and plating (electro. In this work. low processing temperature. Cu is a potential substitute for Al metallization due to its higher conductivity and better resistance to electromigration. Cu oxidizes at a significant rate for temperature of as low as 150'C.or electroless plating). Studies on the phenomenon of Cu oxidation reviewed that the low temperature (<2501C) oxidation process follows an inverse logarithmic rate law. which is a mixture of both parabolic and cubic behavior for intermediate temperature. Cu was deposited on A]/Cu/Ti seeding layer by electroless plating method from formaldehyde-based solution with EDTA as a complexing agent. The objective of this work is to compare the oxidation behavior of electroless deposited Cu at different annealing conditions. This is due to an inter-diffusion of Cu to the seeding layers. and a domination of parabolic rate at high temperature [2]. The EDX analysis also showed a change in the element concentration of the electroless Cu sample before and after heat treatment. The variations of the microstructures of electroless deposited Cu films were studied using both Scanning Electron 168 Electrochemical Society Proceedings Volume 99-9 . Electroless Cu deposition is a potential process for Cu metallization due to its high selectivity.4]. The obstacle to the widespread application of Cu technology is however. forming a non-protective surface. such as electromigration and delay time. oxidizing and vacuum ambient conditions was studied. Nanyang Technological University. The temperature of the heating ambient was varied from 200'C to 400'C. School of Electrical & Electronic Engineering. Cu can be deposited by various means such as PVD. indicated a growth of the (111) crystallographic orientation for the electroless deposited Cu film with heat treatment. The microstructure of electroless deposited Cu film was observed using both the SEM and AFM. Singapore 639798 ABSTRACT Copper (Cu) has been actively pursued as the most promising candidate for replacing the current Aluminum (Al) metallization for submicron device interconnection because of its higher electrical conductivity and better resistance to electromigration. Thermal annealing is an integral processing step in wafer fabrication and the heat treatment can modify the crystal microstructure and the electrical properties of electroless deposited Cu. low cost and good filling capability [1]. It was reported that the presence of a strong (111) crystallographic structure is one of the important parameters that affect the electromigration performance of the interconnect lines [3. the current Albased interconnect materials face more problems in integrated circuits. The X-ray diffraction (XRD) analysis. The Cu film samples were treated in inert (nitrogen). and 4 ml/1 of HCHO to work as a reductant. the roughness reduced to 106 A. a three-layered Al/Cu/Ti film was employed as the seeding layer for electroless Cu deposition process. Al is a sacrificial layer to prevent Cu oxidation before immersing into the electroless deposition solution. The electroless bath was maintained at 651C with a pH value of 12. to prevent Cu precipitation in the solution. Ti is employed as the first layer. The electroless deposition solution consisted of 3 g/l of CuSO 4 to function as oxidant. It can be seen clearly in Fig. 1 and Fig. When the as-deposited Cu film was annealed at 300 0 C. 300. 2 are the SEM micrographs of as-deposited and annealed electroless deposited Cu films after annealing for 25 minutes at 300 0 C in inert (nitrogen) ambient. Ambient Vacuum Inert/N 2 Oxidizing/0 2 Annealing Temperature (QC) 200. Similar results had been observed for other annealing Electrochemical Society Proceedings Volume 99-9 169 . 2 that the grain sizes had increased and the grain boundaries reduced after the heat treatment. In our study. 8 g/l of EDTA to serve as a complexing agent. to serve as a barrier/adhesion promotion layer since Ti adheres well to most dielectric substrates and can prevent Cu diffusion into SiP 2. The crystal structures before and after thermal annealing were investigated by X-ray diffraction analysis. The last layer. Table 1 Different oxidation conditions for electroless deposited copper films. The surface microstructure of the annealed Cu film was analyzed using SEM and the surface morphology was observed via AFM. The surface roughness of electroless deposited Cu films can be improved by thermal annealing.6. The roughness reduced to 109 A after annealing for 25 minutes at 2001C in nitrogen. oxidizing (oxygen) and vacuum ambient at temperatures ranging from 2001C to 400*C and the details are represented in Table 1. The second layer. The electroless plated Cu film was about 1 prm thick for all the samples employed in this study.Microscope (SEM) and Atomic Force Microscope (AFM). EXPERIMENT In our study. 400 200. Cu is the best homogenous catalyst for electroless Cu deposition. the roughness of as-deposited Cu was 125 A for a 1 gm thick film. The element concentration of the annealed electroless plated films was investigated using Energy Dispersive X-Ray Spectroscopy (EDX). 300 200. The variation of the crystallinity was analyzed using X-Ray Diffraction Spectroscopy (XRD). 300 Time (min) 30 25 25 RESULTS AND DISCUSSION Fig. These metal films were deposited using the electron-beam evaporation technique and the substrates employed were thermally oxidized <100> silicon wafers. The resistivity of the Cu films was measured using four-point probe. 350. The measured resistivities of as-deposited electroless Cu films were in the range of 1. Only weak intensities of Cu 2O (111) and CuO (111) peaks appeared after annealing at 300TC in vacuum ambient. as measured by EDX.30% to 75. when compared to that of the bulk material. 10 is an EDX spectra of as-deposited Cu after annealing for 25 minutes at 300TC in N 2 ambient. The texture control would be especially influencing in the electromigration behavior of dual-damascene for interconnection. A simple thermal annealing step of 200TC to 300TC is sufficient to increase the (111) crystal orientation.09% and 15. 0 elements were obtained and no other element was detected. The higher resistivity. significant variations in crystal structures were observed after thermal annealing in vacuum (10-6 Torr) for 30 min. from 6. 4.9 ýtL-cm to 3. giving rise to the observed higher resistivities. It was reported that the presence of a strong (111) fiber texture could result in a more reliable interconnect structure [3]. Such thermal annealing step can be easily integrated into a dual Damascene-Cu process flow such as the dielectric deposition process. Si. Fig. Approximately 5 to 10 percent reductions in resistivity had been observed when the Cu film was annealed at 200'C in both vacuum and nitrogen ambient. respectively. The ratio of crystal orientation 1(111)/1(200) increased by 10 to 20 percent after thermal annealing. The surface color also becomes black and opaque. respectively.0 ftQ-cm. reduced from 79. When the annealing temperature was higher than 350 0C. at 200TC and 300°C respectively.96%. The crystal texture of the metal film is an important parameter that determines electromigration performance. 8 and 9 are XRD graphs of as-deposited Cu film after annealing for 25 minutes at 300TC in nitrogen and oxygen ambient. This is due to the increased in concentration of oxygen elements. after the high temperature heat treatments. was due to the effects of film morphology. especially the grain boundary and the loose film structure. These irregularities contributed to the scattering of electron carriers. Such improvement was an outcome of the tremendous reduction in grain boundary scattering due to grain growth after thermal annealing and the reduction of surface scattering due to reduction in surface roughness. Electromigration performance of damascene-Cu interconnects formed by PVD method could be improved by controlling the film texture to (111) [4]. The as deposited Cu film after annealing for 25 minutes at 300TC in nitrogen is shown in Fig.73% and 70. The X-ray diffraction graph of Fig. 3 is the AFM micrograph of electroless deposited Cu. As illustrated in Figure 6 and 7.ambient when the annealing temperatures were less than 350'C. The high temperature heat treatments of the Cu films in oxidizing ambient resulted in the formation of both Cu 2 0 and CuO. signifying a strengthening of the (111) peak.71% to 11. Ti. Fig. Only Cu. indicating a solid-state recrystallization of the as-deposited electroless plated Cu with annealing temperature. Fig. The Cu(ill) crystal peak increased tremendously as the annealing temperature increased. 5 shows a distinctive polycrystalline structure of the as-deposited electroless plated Cu film without preferred grain orientation. hence improving electromigration. the roughness and adhesion to base material worsen because of the surface thermal stress and inter-diffusion of the Cu film with the underlying seeding layers. The percentage of Cu element in the as deposited Cu films. revealing strong intensities of Cu 2O (111) and CuO (111) peaks.68% after annealing in N2 ambient for 25 min at 200TC and 300°C respectively. 170 Electrochemical Society Proceedings Volume 99-9 . XRD and SEMIEDX.Soc. ACKNOWLEDGEMENT The authors would like to thank Mr. At temperature greater than 350'C. The oxidation products. S. H. 3. (1997). Electrochemical Society Proceedings Volume 99-9 171 . However. p. The resistivity of electroless deposited Cu reduces after annealing at 200 0C due to the reduction of grain boundary scattering and surface scattering. Sanchez.. J. at 300'C in an oxygen ambient. 2. Ang K.3. (1997). the adhesion of electroless Cu to the base material becomes poor. Fisher. Inst. The surface morphology of electroless Cu was much smoother after heat treatment. 82(5). 4. April.M. Electrochem. 144. No. Phys. B.Vol. Zhao. Cu20 and CuO causes the resistivity of electroless deposited Cu film to increase. in both nitrogen and oxygen ambient. 89 (1960-61) 65. Met.. J. Diamand. Field.898. 2383. E. Y. A. D. REFERENCES 1. MRS Symp. V. et al. P.Onoda. et al. Apple.CONCLUSIONS The quality of electroless deposited Cu after heat treatment was studied using AFM. Dubin. J. Ronnquist and H. (1998). p. the increased in oxidation products. Jr. The copper oxides are believed to have formed in the heated N 2 ambient due to the adsorbed moisture layer on the Cu film surface. Heat treatment in vacuum is a more effective method for preventing oxidation of Cu film. Proc. et al. J. for performing the SEM/EDX analyses.S. Cu 20 and CuO increased significantly with increased in annealing temperature. 2. SEM micrograph of electroless deposited Cu. Fig.Fig. 1. 172 Electrochemical Society Proceedings Volume 99-9 . SEM micrograph of electroless deposited Cu after annealing for 25 min at 300'C in inert/N 2 environment. Fig. AFM micrograph of electroless deposited Cu after annealing with a scan size 5pm x 5pm. AFM micrograph of electroless deposited Cu with a scan size 5pam x 5pm.3. Electrochemical Society Proceedings Volume 99-9 173 . Fig.4. 60 . 100 120 .. 2400..1000 C800 600 400 200 20 40 60 80 2-Theta 100 120 140 Fig. 20 40 60 80 100 120 140 2-Theta Fig. .7.1400 1200 1000 800 600 400 2000 1 -20 1 40 . 1600 " 1400 1200 .I 140 ( (200) Fig.6. 80 2-Thela . XRD of electroless deposited Cu after annealing at 300TC for 30 min in vacuum (10-6 Torr). •.11. 2000• 16001200 S800 400 01--.5. XRD of electroless deposited Cu after annealing at 200'C for 30 min in vacuum (10-6 Torr). XRD of electroless deposited Cu film. . I . 174 Electrochemical Society Proceedings Volume 99-9 . Electrochemical Society Proceedings Volume 99-9 175 . S CU(111) o 0~ so.9.s =r .o 2-Theta Fig.2SO Cu (111) 200 >. XRD graph of as-deposited Cu after annealing for 25 min at 300NC in 02 ambient. 100. XRD graph of as-deposited Cu after annealing for 25 min at 300NC in N2 ambient.8. 40 -O 2-Theta Fig. 10. 176 Electrochemical Society Proceedings Volume 99-9 .3000- 2500- 2000- 15000 S1000 500= Soo 0 CU Ti 00 5 10 Energy (KeY) 15 20 Fig. EDX spectra of as-deposited Cu after annealing for 25 minutes at 300NC in N2 ambient. It is also demonstrated that highly adherent Ni films can be plated onto n-Si(111) from an acidic Watts bath. more recently. we demonstrate that robust electroplated Cu/n-Si(111) junctions with a nearly perfect diode behavior may be grown from alkaline CuCN solutions (5). * corresponding author: pa@ccr. aluminum or an aluminum alloy is now generally used as the interconnecting material. if copper clusters were elecrodeposited onto the silicon surface first. and P.Cu(OH) 2 solutions(4). or. copper wiring technology has been widely investigated in the last years.3). 4 Place Jussien Tour 22.fr Electrochemical Society Proceedings Volume 99-9 177 . CNRS-UPR15. INTRODUCTION In ultra-large-scale integration structures. F-75252 Paris Cedex 05. with acidic CuCO 3 . Particular attention was given essentially to copper chemical vapor and to copper electroless deposition (1). The Schottky parameters (barrier height (DB = 630 mV. Allongue* Laboratoirede Physique des Liquides et Electrochimie.Cu ELECTROPLATING ON n-Si(111): PROPERTIES AND STRUCTURE OF n-Si/Cu JUNCTIONS T. As far as electrochemical deposition is concerned. F. since copper has a higher melting point and lower resistivity than aluminum. France Alkaline CuCN solutions were used for the first time to electrodeposit homogeneous and adherent Cu films onto silicon. Results of investigations about aging of contacts in ambient are also presented. Zambelli. but nothing about the electrical properties of the Cu/Si junctions was reported. Pillier. occasionally buffered with HF (2. ideality factor n = 1. studies were mainly carried out with acidic CuSO 4 solutions. The obtained Cu/n-Si(111) junctions show a nearly perfect rectifying behavior. Information on the mechanism and the kinetic laws of deposition could be inferred from these experiments.2) do not change importantly with time.jussieu. This is probably due to the fact that such Cu films are not sufficiently adherent. To overcome the limitations of aluminum connections. In this report. Finally. < 0. 178 Electrochemical Society Proceedings Volume 99-9 . n-type. all potentials are quoted versus this reference) and a platinum wire as counter electrode.8 M 1.5' miscut). solid line). Deposition was performed either from the High Efficiency (HE) or the Strike CuCN solutions. Backside ohmic contacts of Si samples were achieved with an InGa eutectic.2 M Reagent grade chemicals were utilized.3 M IM 0. the cathodic wave appearing for U < -1.-> 1/2H 2 + OH-. 1-10 Qcm. The anodic peak at -1. . The i-U characteristics of the solid state junctions were measured in air.2 V (also absent in the Cu free solution) detected on the positive going potential sweep corresponds to the stripping of the Cu deposit. Electrodeposition was carried out in a conventional three-electrode cell under potentiostatic control with a mercury sulfate electrode as reference (in the following. In the supporting solution.75 V.9 M 0. RESULTS AND DISCUSSION Figure 1 shows a typical voltammogram for an H-nSi(111) surface in the CuCN HE solution (dashed line) and the corresponding supporting electrolyte (no CuCN added. a two step procedure to obtain very adherent Ni. It is interpreted as the beginning of Cu deposition since it is absent in the blank solution.2 M 0. High Efficiency CuCN NaCN Rochelle salt NaOH 0. the samples were ultrasonicated in methanol.1 M 0. In the copper solution. see Table I).1 . immersed for 30 min in bidistilled water (BW) mixed with 4% Labwash 12 (Prolabo) and finally etched for 1 min in 40% HF to remove the silicon oxide and produce an H-terminated surface.2M Cu Strike 0. the cathodic current observed for potentials U < -2. Both solutions consist in a mixture of NaCN and CuCN and Rochelle salt (potassium sodium tartrate) in NaOH (pH 13-14. Table I: Composition of the CuCN solutions. After thermal oxidation (1100 'C.1 V is the reduction of molecular water according to the reaction 1120 + e.100 nm of oxide thickness). Co and Fe films on n-Si is illustrated EXPERIMENTAL 8 x 8 mm 2 silicon samples were cleaved from 3" silicon wafers (SILTRONIX. Solutions were stirred and deoxygenated by bubbling nitrogen. 150 0~ E -150 ~ ~ . 2: Electrical characteristics of an electroplated n Si(111)/Cu contact (Vd = -1.5 M high efficiency CuCN solution in 0...75 V...... Scan rate: 20 mV/s.. Solid line: without CuCN.. 10 n-Si/Cu contacts anl n X× .. - nn=..1 0.. Electrochemical Society Proceedings Volume 99-9 179 .. td = 300 s) measured immediately after preparation (squares) and after 23 days (crosses).1 M NaOH.... After plating. The excellent mechanical properties of Cu films deposited from alkaline cyanide solutions is therefore presumably related to some specific interactions between the CN ions and the Si surface as recently observed for the electrodeposition of gold on n-Si from KAu(CN) 2 solutions (6).1 -i '8 -1. x×E % XMXE 0 xxx IE-3 -180 -120 -60 0 60 120 180 240 Bias (mV) Fig.5 1. ..4 2.......... dashed line: with CuCN.. All films passed successfully this test whereas films obtained from an acid CuSO 4 solution never passed the same test. 1: Voltammogram of an n-type H-Si(111) surface in the 0.. -300 -2...2 -0. the first test of deposit was controlling the mechanical adhesion of Cu layers using the adhesive tape test...9 U (V) Fig. S0..101 . its position and its value of minimum). squares): the direct current is an exponential law at positive bias over two decades. day Alkaline copper (B 0 1 2 3 4 10 20 30 621 662 671 694 685 688 683 682 n 1. no significant effect could be discerned. the transition occurred at 1. With regard to the Rochelle salt concentration. These characteristics are comparable to those reported for contacts prepared by physical methods (8).04 were found.05 M enhanced ftB by a small amount (640 ± 15 mV) leaving n almost unchanged (i. For a I M NaOH solution.27 1. 2.5 to 0. On the other hand. a barrier height (DBi-V = 632 ± 3 mV and an ideality factor n = 1. Since these junctions were clearly of lesser quality.85 V instead of -1. until ohmic losses become non negligible at large current density.21 1.35 180 Electrochemical Society Proceedings Volume 99-9 .17 1.8 ± 0.22 1.1] (7) to analyze the exponential branch of the characteristics. the systematic study of the effect of the solution concentration and pH was not pursued.32 1. = A**T2 exp(-q 0 i-V/kT) [exp(qV/nkT) .24 1. Using capacitance measurements (MottC-V i-V Schottky method) 6 q)B is found to be typically 60 mV greater than 'B . diodes were achieved with lower 0•B (600 ± 10 mV) and with higher n (best value 1. = A**T 2 exp(-q DBi-V/kT) was consistent with the above determination. in this case.95 V.28 +-0. We only noted. This small difference is a further indication of the abruptness and chemical homogeneity of the interface.. Diluting the HE solution from 0. Table II: Aging of Schottky junctions.29 1. however.Junctions obtained by Cu plating at Vd = -1. The dependence of the electrical properties on pH and relative concentration of the CuCN solution was also investigated. The reverse current at negative bias rapidly saturates.e. keeping the concentrations of NaCN and CuCN fixed and increasing that of NaOH led to a shift of the onset of the diode/resistance transition. that.1). not even in the complete absence of this component. It was also checked that the value of (DB derived from the saturation current i.75 V in the HE solution are perfect diode (Fig. usually present in the commercial baths. where symbols have there usual meaning. the Rochelle salt was indispensable to obtain adherent films. Using the expression i. Employing the Strike solution. the rest potential of bare n-Si is . the open circuit potential (OCP) of the silicon covered by the Cu film was . 3: Energy diagram a) of a Cu/n-Si (111) junction and b) of a bare n-Si(111) in contact with a CuSO 4 solution (pH 2).4. the porosity of the Cu films was inspected by immersing a Cu/Si junction into an acidic solution of CuS0 4 (pH 2) with increasing HF content. One obvious interpretation of above results is oxidation at the Si/Cu interface through voids in the Cu layer. however. 3B. crosses is an example of result) and the difference A V i-V B = 60 mV remained unchanged over the same period. Initially.0. their aging at ambient was investigated by repeating barrier height measurements (see Table II).64 V). They behaved in the same way: 0. up to 2%/. Porosity of the Cu films is also Electrochemnical Society Proceedinigs Volumne 99-9 181 .50 mV after one day and stabilized around 682 ± = (DBC6 mV after 20 days (Fig. The values of n remained essentially unchanged. Since HF is known to dissolve Si oxide. As preliminary test to clarify whether these observations may be asssigned to copper and/or silicon oxidation.3 and 1.0.BIN increased by . which is equal to the rest potential of a clean Cu wire. a) (B b) EF . td = 300 s) were followed over one month.aq Fig. that Cu films are not ideally compact. the negative shift of the OCP means that HF actually reaches the Si surface. induced a rapid shift of the OCP of the n-Si/Cu electrode. Addition of a small amount of HF.38V. 3A). i.After succeeding in producing Schottky junctions of high quality.e. and ranged between 1.- E Eredox Eredox ýU n-Si CU film CuSO 4 . The Schottky parameters of twelve rectifying junctions prepared under the same conditions (Vd = -1.aq n-Si CuSO 4 . This indicated that the junction n-Si/Cu/CuSO4 solution was at equilibrium (Fig.75 V. the value being intermediate between that of the Cu wire and that of the bare n-Si electrode in contact with the CLIS0 4 solution (Fig.. 2. the metal of interest is plated. in agreement with electrical measurements. They represent the minioiuni quantity of copper necessary to obtain nickel films from a modified Watts bath (pH 3). Nickel was electrocristallized at Vd = . Figure 4 shows an XTEM view of a Cu/n-Si(l1) contact. which we therefore attribute to 50 rn1 Fig. 4: Cross section TEM image of a Cu layer electroplated onto n-Si(lll). 4 B with time.30 V and we emphasize that it was not possible to achieve Ni deposition on n-Si(111) at this potential without the presence of Cu clusters.consistent with the increase of oxidation at the Si/Cu interface. Figure 5 shows an in plane TEM view of Cu clusters electrodeposited on n-Si(111) (Vd = -1. The excellent adherence of Cu layers gives the opportunity of preparing electroplated adherent films of various metals onto n-Si(111). Despite the XTEM sample preparation. Rings with dots were found by electron diffraction. The image evidences a sharp interface between silicon and copper.1. 5: In plane TEM image of Cu clusters electroplated onto n-Si(111). we note that the film is still attached to the silicon. Ni films are also very adherent and they successfully passed the 182 Electrochemical Society Proceedings Volume 99-9 . Fig. td = 40 s). This is a further proof of its adhesion.75 V. The copper layer is constituted of nm cristallites. with no preferential orientation. using a two step process in which Cu clusters are first grown as precursors and then. 8 (1994). 6) with (DB of 635 ± 5 mV and n of 1.75 V. n-Si/Cu/Ni z~0. 6: Electrical characteristics of a n-Si(111)/Cu/Ni contact (Cu: Vd -1. see. CONCLUSIONS In summary. Electrochemical Society Proceedings Volume 99-9 183 = . which was exploited to electrodeposit adherent Ni films from a modified Watts bath. Experiments with cobalt and iron solutions also at pH . td = 5 min) measured immediately after preparation.04. Moreover. the obtained contacts behave as nearly ideal Schottky i-V diodes (Fig. td 40 s. the review article Copper metallization in Industry.ooooooo°• U IE-3 -200 -100 0 100 200 Bias (mV) Fig. this study shows the great possibility of generating Cu/n-Si junctions with a nearly perfect rectifying behavior from CuCN solutions.01 e°o e. Ni: Vd = -1. This two step procedure seems to solve the major difficulty encountered upon growing thick metal layers onto H-Si surfaces from acidic solutions and enables to prepare stable electrical junctions with defined electrical properties.08 ± 0. Diode characteristics are comparable to those reported for contacts prepared by physical methods and are not appreciably subject to modification with time.adhesive tape test. XIX.3 are currently in progress. ACKNOWLEDGMENTS This work is part of the QUEST-Project MEL ARI 23274 supported by the European Community. No. REFERENCES 1. MRS Bulletin Vol. The second promising point is the high adherence of Cu films. for example.30 V.1 01 contacts 0 e O0 o1 •_0. Oskam. and P. 5. p. Appl. Rhoderick. Editor. B. L. . p. Oxford (1980). D. Walsh. G. J. S. 3. and P. G. S. G. A. E. dos Santos F°..M.H.. 8. 2nd ed.C. Microeletronic Engineering. A. Phiysics of SerniconductorDevices. 184 Electrochemical Society Proceedings Volume 99-9 . F. 6.2. P. 241 (1998). Sze. Phys. Appl. H. in Metal-Semiconductor Contacts. 33. John Wiley & Sons. Jean. Lett. 4.. and J. 31. Martins. and C. G. A. p. Searson. Kneer. Soc. Parks. A. Raghavan. 7. 59 (1997). Pasa. New York (1973). C. Editors. G. 165. Li. John Wiley & Sons. Hammond and D. G. 3rd Edition. 291. Atkinson. S. in Modern Electroplating. D'Ajello. R. van Heerden. Oskam.T. Searson.C. Phys. P. J. 3241 (1998). E. Lowenheim. Clarendon Press. Long. 1927 (1998).H. 0.. H. S. New York (1973). 3rd ed. H. F. Vermeire. 141. 73. 7. Hasenack. Electrochem. Natarajan. the focus has been shifted to include optimization of wafer warpage. The optimization of each of these resistive components is important in producing competitive devices. R. Semiconductor Division 125 Crestwood Road. and be a reliable and solderable film stack to optimize packaging and device performance. Spindler. NY 14650.. all of which are required for manufacturing power discrete devices. the backside of the silicon wafer is usually metalized to form a drain terminal. Since wafer warpage has been shown to be proportional to wafer size and thickness'v. The alternative metal stack of Ta/Cu demonstrated lower stress levels thus reducing wafer warpage and therefore reducing wafer breakage and handling issues. all of which have significantly large stress as compared to Ta/Cu. especially in a U-shaped trench MOS (UMOS) structure" or double-diffused MOS (DMOS). Au. In power devices were current flows both laterally and vertically.. Ridley. This alternative backmetal process for power semiconductor devices was developed using physical vapor deposition (PVD) of the barrier/contact layer tantalum. *J. a tantalum and copper backside metalization scheme' was demonstrated to be an effective alternative solderable metalization scheme for thin substrate power devices. The conventional solderable backmetal scheme is comprised of some combination of Ag. In this study. Rochester. backside metal films were only required to have the properties of low ohmic contact resistance to silicon. Lauffer Harris Corporation. Grebs. " Currently with Eastman Kodak Co. The effect of the backmetal stress on wafer bow is also dramatic. Mountaintop. Ni or Ti films. seen in Figures 1 and 2 respectively. Sr. Cr. Al. thermal conductivity and lower processing costs. followed by PVD of the conducting layer copper on the backside of a thinned silicon wafer. high thermal conductivity. S. Cumbo and J.THE USE OF COPPER BASED BACKMETAL SCHEMES AS A LOW STRESS AND LOW THERMAL RESISTANCE ALTERNATIVE FOR USE IN THIN SUBSTRATE POWER DEVICES T. INTRODUCTION In power semiconductor device manufacturing. the increase of wafer size from 4" to 8" in an attempt to improve manufacturing costs and obtain higher utilization of equipment makes the issue an engineering priority. 1999 Lake Ave. The Ta/Cu film stack also possesses excellent electrical properties. In the past. the resistance from drain to source (Rds n) is made up of several resistive components in series as 0 shown in Figure 2'. PA 18707 ABSTRACT In this study. J.. Electrochemical Society Proceedings Volume 99-9 185 . The tantalum was deposited under the following conditions: chuck temperature 150C. This comparison can also be applied to the cost associated with using each metalization scheme in device manufacturing. or Ag. The additive stresses that are characteristic of the blanket backside metal films have a significant affect on the overall stress induced on a wafer and therefore causes a high level of wafer warpage and breakage especially in thinned larger diameter wafers. Metalization of the wafers was then carried out in the Novellus applications laboratory on a multi-chamber Novellus M2000 PVD tool resulting in an -50 mn thick tantalum layer and copper layers of various thicknesses. a native oxide removal step was performed on the backside of the wafers. The extent to which a wafer is thinned is mainly dependent on technology and application. arsenic doped. it is likely to lower the backmetal deposition process cost. higher throughput. Subsequently. The actual raw material cost of the dual metal scheme Ta/Cu is 47% lower than that of the typical tri-metal scheme. EXPERIMENTAL PROCEDURE In this study n-type. argon flow 35 sccm. Also. since the copper deposition in this study occurs at the end of processing no special handling or isolation of the wafers is required. Ni. A theoretical study of the most important characteristic of backmetal schemes for power devices such as contact resistance. After which. The devices were typically fabricated on n-type <100> silicon with an epitaxial layer. most device packaging options require the metal film stack to be solderable so that the die can be solder mounted onto a lead frame. the thinned wafers are then processed through automated equipment. and thus lead to a lower utilization cost. resistivity and barrier height all suggest the Ta/Cu has equivalent or superior characteristics' in comparison to the power device industry standard backmetal scheme Ti/Ni/Ag (shown in Table I). Furthermore. Power device backmetal schemes must have minimum tensile or compressive stress since wafers are typically back thinned to between 4 . Since the cost of Cu is generally lower than the cost of either a Ti. trends which cannot be avoided in power device manufacturing. the total combined thickness of the die and backmetal is becoming thinner due to new smaller package height requirements. 6"and 8"prime silicon substrates were used in unit step experiments. Wafers are typically back thinned to reduce the substrate's contribution to the overall operational resistance (RdSoo) of the device as shown in Figure 2. Copper was deposited on top of the tantalum film in the same Novellus 186 Electrochemical Society Proceedings Volume 99-9 .. Using a standard four chamber Novellus sputtering system with parallel processing the Ta/Cu stack has an approximate 75% increase in wafer throughput per hour based on sputter rates and wafer process limitations. thermal conductivity. power 3 KW. <100>. All of these factors can be translated into lower cycle time. which is typical when copper is used in topside metalization schemes.particularly as wafer diameters increase and wafer thicknesses decrease. Both unit step and fully processed device wafers were back thinned from 30 to 14 mils using a standard mechanical grind process and chemical stress relief process.14 mils. higher yield. Furthermore. In this process the device wafers receive an automated circuit probe testing followed by the standard plastic package assembly operations. stress and warpage especially with blanket backside metalization. However. argon flow 40 sccm.o. is dominated by the resistance of the substrate R. A comparison of barrier heights shows that titanium is the optimum metal for achieving lowest theoretical contact resistance (Roto. and power 3.. one with the Ta/Cu backimetal stack and Electrochemical Society Proceedings Volume 99-9 187 . when wafers are thinned researchers have shown that they are susceptible to bow. The key electrical properties associated with each element commonly used in semiconductor metalization processes are gathered and shown in Table I... thinning the substrate is necessary to remove as much silicon as possible. The extent to which any metal system will perform in this regard is measured by certain key electrical & physical parameters. A second group of pilot and device wafers with the standard sputtered Ti/Ni/Ag backmetal stack were also prepared for comparison. A solder wetting balance test was used to evaluate the effectiveness of the film in terms of solderability and de-wetting. Most of the typical backmetal schemes used consist of at least two of these metal layers. R.. Electrical testing was performed and results were compared to the control cell. Moreover. All wafers were measured for stress. warpage and bow using the Tencor FLX-2320 thin film stress measurement tool. THIN WAFER STRESS AND WARPAGE In this study.M2000 under the following conditions: chuck temperature 150C. However the layer in contact with the silicon determines the contact resistance. In the standard backmetal scheme Ti is used and one would expect to observe a shift in contact resistance when using tantalum. Since in most cases the total backside resistance 1. However. has minimal impact on total backside resistance R. the resistance attributed to any variation of metal films. the overall stress in the Ta/Cu film stack is seen to be appreciably less than the overall stress in the Ti/Ni/Ag metal stack..5 KW. Some wafers were analyzed using SEM and TEM to investigate the physical properties of films as well as their interfaces characteristics.. the metal contact to the silicon is required to have a low barrier height. Figure 3 shows the bow measurement of two identical 200mm wafers.. For low on-resistance power devices. More extensive solder methods were used to examine metal film stack diffusion characteristics during the soldering process.. The samples were also tested for compatibility with current soldering and packaging methods. this slight shift in contact resistance does not significantly change the total backside resistance Ro. with tantalum being the next lowest. which uses a radius of curvature measurement technique. ELECTRICAL PROPERTIES The primary function of the backmetal system in power devices is to provide an excellent solderable contact to the wafer backside.. which also serves as the drain contact. where barrier height is proportional to contact resistance. The measurement of bow is assumed to be directly proportional to the amount of stress in the backmetal stack. The apparent benefit from the low stress Ta/Cu backmetal stack is enormous. SIMS analysis also confirmed the tantalum barrier integrity. exhibiting sharp elemental transitions between the films with no evidence of copper detected in the bulk silicon. Figure 4 shows the trend in wafer bow versus wafer thickness. and thus thinner wafers. SEM and TEM analyses were performed on wafers with simulated device processing. The Ta/Cu curve is based on the actual measured bow of the wafer at 14 mils. and r respectively which is a requirement for backside contacted devices. Realizing that the trend is toward thinner die. PHYSICAL ANALYSIS In discrete power semiconductor devices the backside silicon surface is intentionally roughened to promote good metal adhesion and provide increased metal contact area.•. projected to a thickness of 6 mils using the same relationship described above. where the bow is mainly caused by the stress from the deposited backmetal layers. The wafer prior to backmetal deposition is under compressive stress and exhibits positive bow. The theoretical curve is normalized to the standard bow value at 14 mils and then projected outward. especially when considering thinner substrates. This proportionality is observed by examining the net effect on wafer bow after backmetal deposition which is that it becomes more positive. No copper was observed in the bulk silicon.the other with the standard Ti/Ni/Ag backmetal stack.. In this case. which also confirms that the tantalum protection barrier was adequate since copper diffuses into silicon at a rapid rate (4E-02 cm 2/sec @ 23°C). therefore it follows that wafer bow is proportional to the backmetal stress. Grief and J. Future studies will investigate stress characteristics of Ta/Cu films ranging in thickness. which is always with the front or device side up. A theoretical curve is applied using a principle derived from a previous study by M. positive bow is relative to the placement of the wafer when measuring bow. The initial tests were run at a wafer thickness of 14 mils. The tantalum layer covered a 200nm (rms) roughened backside silicon surface. As discussed earlier this backside contact area and metal films used are important in achieving the lowest possible resistance ron. The backmetal films investigated are known to cause tensile stress and exhibit negative bow. on thinner substrates. the benefit from a less stressful backmetal film becomes obvious when looking beyond 14 mils. revealing 50nm of continuous tantalum metal film. This roughened surface increases the requirements for metal deposition tools to provide a continuous and conformal film coating over the backside topography. where the bow is said to be inversely proportional to the square of the substrate thickness. Steele Jr. Shown is the actual measured bow for the standard Ti/Ni/Ag backmetal on wafers at a thickness ranging from 6 to 14 mils. 188 Electrochemical Society Proceedings Volume 99-9 . both the copper and silver are shown to possess these characteristics.THERMAL AND SOLDERING ISSUES In discrete power semiconductor devices. This heat must be thermally transferred away from the device as efficiently as possible to prevent device degradation. Vsd is a measure of voltage drop across a P-N junction. (source to drain) or the body diode of the device. especially in cases where the next layer underneath is nonsolderable. Also. heat is internally generated within the device. shows that silver is approximately 1.5 times the rate of copper at a typical soldering temperature of 375'C". Since the die top layer backmetal diffuses into the solder. one has to optimize the top backmetal layer. while convection and radiation effects are minimal. so estimations have been made. This effect is very difficult to calculate. Device solderability to a package is controlled by several factors but most importantly the top layer of the backmetal stack and its thickness. Another key factor to be considered is device solderability to a package. convection or radiation. An examination of both the copper and silver dissolution rates into tin based solder. some additional top layer backmetal thickness was added as a safety factor to protect against solid state diffusion that can cause exposure of the non-solderable metal during this high temperature solder operation. which are conduction. seen in Table II. which also strongly influences the heat dissipation. Both copper and silver possess excellent thermal conductivity properties that are beneficial for heat dissipation. This measurement is effected by the epitaxial layer. In this investigation. is highly dependent on the percentage of tin present in the solder. Backmetal aides in the removal of heat via the conduction method. the minimum suggested copper and silver thicknesses were chosen to be compatible with tin based solder that was reflowed for . where Vsd and Rdson were the key electrical parameters evaluated. The rate of dissolution of copper and silver into a PbSn or PbSnAg solder.90 seconds. seen in Table II. which should possess excellent thermal conductivity and low dissolution into a tin based solder. The liquid-solid diffusion rate information for solder scavenging of copper and silver is very limited in the literature. substrate. To improve heat dissipation. It is important to understand the key components of dissolution for copper and silver during the solder process. In this study. Electrochemical Society Proceedings Volume 99-9 189 . Higher tin levels result in faster diffusion into the solder. tin's ability to scavenge the backmetal will decrease and the rate of diffusion of backmetal into the solder will decrease. The copper and silver backmetal thicknesses need to be kept above a minimum value to avoid diffusion of the entire layer into the solder. The heat is transferred out of the silicon by three methods. The key components include time and temperature of soldering as well as tin content of solder because they determine the thickness necessary for proper adhesion. PARAMETRIC RESULTS The three key areas examined were fallout after packaging and electrical testing of packaged devices. therefore the diffusion rate figures are a constant-rate approximation only. First. This is because the total backside resistance Rt. the Ta/Cu cell had a 99% yield and the Ti/Ni/Ag cell yielded 100%. which is statistically indifferent. stress. Since the Ta/Cu was not examined for electrical benefits but for stress benefits._ is a significant the driving force for wafer thinning.. The Ta/Cu film stack also possesses lower metalization costs. The dominance of substrate resistance Ria. the Ta/Cu backmetal had no significant impact on Vsd or Rdson as compared to the control group. devices from both the Ta/Cu and control group (Ti/Ni/Ag) were packaged and subsequently electrical parametric tests were performed. Using a standard four chamber Novellus M2000/M21 sputtering system with parallel processing the Ta/Cu stack has an approximate 75% increase in wafer throughput per hour based on sputter rates and wafer process limitations. however thinned wafers are susceptible to bow. versus a tr-metal scheme (Ti/Ni/Ag). As with prior test. the slight shift in contact and metal resistance do not significantly change the total backside resistance Rk 1 . As observed in Figure 5. warpage and breakage especially with blanket backside metalization. The conventional solderable backmetal scheme typically comprised of Ti/Ni/Ag has significantly large stress which translates into large wafer bow as compared to the Ta/Cu alternative. there is only a need for it to be equivalent to the standard metal scheme in the electrical and parameteric performance. The electrical properties of the various back metal schemes examined showed a slight difference in the contact and metal resistance for standard verses the alternative backmetal schemes. CONCLUSION This study has demonstrated that a tantalum and copper backside metalization scheme is an effective alternative solderable metalization scheme for thin substrate power devices. Rdson is defined as a sum of the resistors within a power device of which the backmetal is one of these resistors.. The actual raw material cost of the dual metal scheme is 47% lower than that of the tri-metal scheme. This alternative stack has demonstrated lower stress levels thus reducing wafer warpage and therefore reducing wafer breakage and handling issues. The indifference in the packaging yield indicates that the Ta/Cu metal scheme is a viable alternative without altering the current packaging process. As seen in Figure 2. These results confirm that since the metal schemes evaluated behave in a similar manner. the bulk silicon resistance is the dominant factor in determining Vsd and Rdson of the device. However. Upon 190 Electrochemical Society Proceedings Volume 99-9 . Thinned wafers also have relatively rough back surfaces for increased contact area however this can cause metal conformality issue.surface concentration of the P/P+ region of the body diode as well as the backside (drain) metal contact resistance. wire bonding of the device and encapsulating the die into a finished molded package. The packaging yield is associated with soldering the device onto a lead frame. is dominated by the resistance of the substrate Rsilion. Power discrete devices typically function as a switch and as such most high-power applications require these devices to be low in resistance to minimize heat and current load as well as optimize Vsd and Rdson. H. "S. submitted December 23. PWS Publishing Co. p..A. FIGURES AND TABLES t ~Gatet P Syue Channel region S -urase Channel region NN-4 Drain~ Figure 1 A cross-sectional view of a UMOS structured Power MOSFET. ' S. et al. "B. The backmetal schemes investigated primarily remove heat via the conduction method. ACKNOWLEDGEMENTS The authors would like to thank Steve Vahey. 1996. was not effected by the Ta/Cu metal scheme. Device solderability to a package. Vahey. Oxford Publishing Co. 1996. Internal Harris Semiconductor Report. 1998. S. Boston MA. 1998.. NY (1981). Metal.examination the Ta layer acts as an excellent barrier for Cu and was shown to by SEM and TEM analysis to be very conformal. New York. 190-194. US Patent invention disclosure.K.. Rhoderick and R. Internal Harris Semiconductor Report. which included packaging. Sze. Benczkowski.p. 'vM. which are beneficial for heat dissipation.M. A special thanks is given to Novellus for their support in demonstrating Ta/Cu PVD metal deposition method. Grebs. New York. which also strongly influences the heat dissipation. Williams. The three key parametric areas examined. NY (1988).Baliga. 1998. Grief and J. Power Semiconductor Devices. Physics of Semiconductor Devices. Electrochemical Society Proceedings Volume 99-9 191 . REFERENCES 'T. Don Pavinski and KC Wong for their extensive support in soldering and packaging experiments.. " E. Both copper and silver possess excellent thermal conductivity properties. Proceedings of IEEE/CMPT Int'l Electronics Manufacturing Technology Symposium. Steele Jr.Semiconductor Contacts. Vsd and Rdson all showed that the Ta/Cu metal scheme is a viable alternative without altering the current packaging process.J. Wiley Publishing Co.H. 1600 oo 1400 1200 1000 600 5 "800 400 200 0. 4 6 8 - 10 12 14 16 Wafer Thickness Imils] -i-.Theoretical * Std. Backmetal --a Ta/Cu . Figure 4 Bow versus Wafer Thickness for various backmetal schemes.250. T ------ 300 t 200 -- 0 - Ta/Cu Ti/Ni/Ag Fiigure 3 Bow measurement of standard vs. 192 Electrochemical Society Proceedings Volume 99-9 .ubstrato Rdraln contact Figure 2 A cross-sectional view of a typical DMOS structured power MOSFET with the resistive components shown. Ta/Cu backmetal scheme on 14 mil thick. 200mm wafers.IRFE jf "Neck N Epi - Rept N+ Substrate R. 350 . 766-04 6 76E-04 6.580 0550 0.76-09 1. 70 .00L-04 1 (ohm-em ) 2.500 Rtotai = Rcontact + (ohm-cm ) 6.G-TF 0.It-Ni A-Ti-Niu KIiNiAg 600E-04 2.70 A/sec 75 . 100 A/sec n/a PbSn5 Ag2.1 00 5 2 98 96 -o 94 92 0 U Final Test Yield Rdson *_[ Ti/Ni/Ag Vsd Z o] Ta/Cu Figure 5 Device yield and parametrics data versus backmetal scheme.62E-05 2 Rmetal+ R-11v o (ohm-cm ) 2 Al.366-04 8.669 12.570 0. {Note: calculations are based on Si =.33E-10 3.940 0. Thermal Conductivity 2 (cal-cm/sK-cm ) @20-C 0.599 0401 0.76E-04 6.115 A/sec Table II Copper and silver diffusion rates as a function of solder type Electrochemical Society Proceedings Volume 99-9 193 .ohm-cm) @20-C 268070 1.130 Electrical Conductivity (106/ohm-cm) @20-C 0.372 ' Element Al Resistivity 6 (10. io 111 Barrier Height (ev) Au "U Va Ti lack MeCtl Schemes Rcontaci -~ --.62E-05 7060 7.024 RmeiaI 0.62E7T-5 1.-62E-05 E Table I Behavior of backmetal film elements and resistance of various backmetal film schemes.6214-05 7.1 V1E-05- 1.07E-09 2 (ohm-cm ) 7.300 A/sec 1 PbSn 2Ag2 5 50 .45E-I 0 4.2--7E-I 0 -- 7.00E-04 •a-Cu I a-Cu-Ag T'M -ar-u 6.80 A/sec 200 .346 667 R.91 E-09 7162E-05 6.00E-05 60E-5 -.003ohmcm (2el9atom/cm3) @10 mil thickness} Solder Alloy Approximate Diffusion Rates of Copper into solder at 350-375 0 C Approximate Diffusion Rates of Silver into solder at 350-375 0 C PbSn. which is still based on electrochemical principle. Other electrochemical methods have also been explored. However. For instance. although it is a fact that Si or Ti can be chemically displaced by cupric ion. They proposed that it is possible to deposit copper by displacement method. 4' mentioned a possible alternative. Theoretically. INTRODUCTION Copper interconnection via electrochemical means has received increasing attention. which means it is a more expensive method. it has its own drawbacks.Taiwan ABSTRACT The possibility of applying copper deposition directly on top of TiN barrier via electrochemical method was studied.Hsin-chu. the wafer covered with a TiN or TaN barrier layer will be dipped into an acidic copper sulfate solution containing NaF as an etching promoter.Wan Dept of Chemical Engineering Tsing-hua University.Possibility of Direct Electrochemical Copper Deposition without seedlayer H. This method needs no external applied current or reducing chemical. Currently the most acceptable method is based on electrodeposition of copper on top of a copper seed layer which has previously been deposited by CVD or sputtering method('). According to their method. However.C. it is 2 possible to deposit copper by electroless method with appropriate reducing agent( ). In theory.al. Dubin et. Copper will immediately deposit on the barrier layer presumably due to a displacement reaction between the cupric ion and the nitrid compound. So we carried out a study to investigate the reaction involved in this deposition 194 Electrochemical Society Proceedings Volume 99-9 .P. The deposits' property is in general not as good as that by electrodeposition since it contains more contaminants and less desirable crystal 3 structures( ). Previous report of using contact displacement to deposit copper was found chemically questionable. it is better than electroplating or electroless plating. the copper can be more uniformly deposited.Fung and C. The bath is more complex and difficult to control. very little information is available regarding the mechanism of the actual reaction occurred or the subsequent technical development based on this concept. The copper deposition observed could be due to reaction between cupric ion and silicon underneath through cracks in the intermediate TiN layer. CuSO4 • 5H 2 0 b. g/l.K.5H 20 lg'l HF (b) HF 10 ml/l 10 ml/I x x x x × × 0. The results is as follows.0102mg/Ig x Furthermore.24mg per gram of TiN Note: contact time 15 minutes Obviously copper can be deposited by contact displacement on Si surface directly.process and the potential drawbacks which may be associated with this method. we prepared three kinds of solution to ascertain the controlling factor. Table I Test of Copper deposition by being dipped in three kinds of solution A B Si TiN powder (a) CuSOC. A four-point probe was used to measure the film resistance.24mg/Ig means copper contact was found to be 0. a. XRD and AES methods. The copper contact displacement solution contained CuSO4 • 5H20 I g/l and NH4F • HF lOg/I and the temperature was controlled The copper deposit was finally analyzed by SEM.O lg/I designated as A and B. CuSO 4 • 5H. but the reaction needs the assistance of fluoride ion. Electrochemical Society Proceedings Volume 99-9 195 . In fact M.5H 20 and 100g/I H2S0 4 . the electrolyte contained 75g/1 CuSO 4 . HF 10ml/l c. RESULTS AND DISCUSSION Copper deposition by contact displacement Since we are interested in the mechanism of copper deposition by contact displacement. EXPERIMENTAL The wafer firstly covered with TiN barrier was dipped in a displacement solution. comparison. As for the subsequent copper electroplating. the palladium contact displacement solution 6 contained PdCI2 I g/l and NHF • t4F at 18-20'C. we also dipped bare Si and TiN powder in the test solution for lg/l HF 10ml/l 0 -0 0.24mg/Ig (c)CuSOC.5HO lg/l o deposition x no deposition 0. respectively. which may contained cupric ions or palladium ions.Lee alreadyy observed this and proposed the following reaction. The samples came from two sources.00957mg/Ig 0. Then wafer samples covered with TiN layer were dipped in each of the solution. Since there was no copper in solution(b). which is much slower than Si. Sample A responded very slowly to solution (a) in contrast to sample B.24V) (E= 0. there should not be such a distinct difference between Sample A and Sample B. However after being dipped in solution(a). So we suspect that the so-called displacement reaction between TiN and Cu" is really a reaction between Cu2' and the underneath Si when TiN is etched away. How other metallic ions behave in contact with those materials are of great interest to us as shown in the follow table. Apparently Cu2> ions can readily be displaced by Si and Ti instead of TiN. As for solution(c). (100nm) layer beneath the TiN layer. Furthermore. For sample A.24mg/g TiN. This again indicates that copper grows through the crack of TiN layer. the TiN layer was directly in contact with the Si substrate. If the copper deposition was due to reaction between TiN and cupric ion. Si + 6F- (E= -1. Firstly. SEM observation(Fig2) of the copper deposit also shows that the copper is not uniformly distributed but dispersedly located.SiF 6 . the copper contact was analyzed to be 0. Fig 3 shows the TiN composition profile by AES near the crack area and Fig 4 is the profile of the copper deposit.. In the case of solution(b). although the copper deposit showed poor adhesion. copper cannot be deposited with CuSO 4 solution without F.00957mg/g. 196 Electrochemical Society Proceedings Volume 99-9 . we observed that the TiN layer was etched away by solution (b) as shown in Fig . But for sample B.34V) and Cu2> + 2e - o Cu So it is a spontaneous deposition. there was only a minimal increase of copper content when compared with solution(b).+ 4e 2 I. But silicon substrates covered with TiN showed different behavior. the copper should be impurity originally contained in the TiN powder.ions. there was a thick SiO. A direct chemical analysis of the product after we dipped TiN powder in the solution for copper contact displacement indicates TiN can react with CuSO4 solution but very slowly. the copper deposit grew very slowly and difficult to observe because the TiN substrate's color was also golden. So presumably. These two figures again confirm that the copper deposit is not due to displacement reaction between TiN and Cu2-. the copper contact was found to be 0. 5% Pd remaining on the surface.7991) Pd (E"=+0.7628) Fe (E'=-0.material and Cu 2 through cracks in the TiN layer due to etching reaction by the fluoride ions. there was 1.HF solution.363) AI (E"=-1.987) x =no reaction 0 O=react perfectly A=react partially and slowly Fig 5 is AES profiles of sample after reaction with PdCl. Electrochemical Society Proceedings Volume 99-9 197 .136) Cu (E =+0.25) Sn (E"=-0. The copper electroplated on top of the Pd layer actually show fairly good adhesion.4402) Ni (E'=-0. " But other metal ions such as palladium can indeed induce displacement reaction and serve as a possible alternative for copper deposition without copper seed layer by CVD or sputtering. We also found by AES analysis.Table 2 M=Ig/I Some metallic ions behave in contact with those materials Si × x x x x x A 0 0 0 Ti x x x 0 0 0 0 0 0 0 PVD TiN x x x x x x x A 0 0 CVD TiN x x x x x x x A 0 0 NHF • HF=6g/I Sr (E°=-2./NH 4F. This can also be observed by X-ray mapping as shown in Fig 6. which indicates good adhesion between the Pd and the barrier layer after the contact displacement reaction.888) Mg (E7=-2.662) Zn (E"=-0. So palladium may serve as a good adhesion promoter for copper plating on TiN. CONCLUSIONS The copper deposition observed between TiN barrier layer and acidic copper solution containing F ions is actually due to reaction between the bare Si.337) Ag (E'=+0. (1995). VMIC Conference.Seah .Mridha and L.1777-1779 (1997) ACKNOWLEDGEMENT The assistance by the Electronic Research & Service is sincerely appreciated. J Electrochem. J.H.27-29.J. Soc.D.Yosi Shacham-Diamand . Wang.Dubin.93-103(1995). June.M.144.Wang and H. " Electroless copper deposition for ULSI". 5.157-159(1998).8. Nguyen . Valery and Matthew Angyal.Valery M.K.(1998). Yosi Shacham-Diamand.May.REFERENCE 1. "Selective and blanket electroless Cu plating initiated by contact displacement for deep submicron via contact filling". electroplated 4.C.H.Chan.Lee.1EEE.."Effect of Scaling of Interconnection" . "deposition of copper films on silicon from cupric sulfate and hydrofluoric acid"."Groeth morphhology of copper".S.262.98. Rathore and D. 2. thin solidfilm. .May.copper metallizationfor Sub-Micron Integrated.H. S. 3. 198 Electrochemical Society Proceedings Volume 99-9 . 00 File< reDpte( • Facco-1455 M Wcle Offset271 Scale k•i •e Ei.0 Fig 3 The TiN composition profile by AES near the crack area Electrochemical Society Proceedings Volume 99-9 199 ..N i ]> 000D V Io i 7 I - . The TiN layer was etched away by solution (b) (HF 10 ml/l) Fig 2 SEM observation of the copper deposit by contact displacement AE5 Septh ProfilePC lltereeting SeJoe 55 Seclee Sit Dele A Sitt. SmiP 4 D res 1 I 08. 5.. Wier 1.Fig 1.- - 1 TI 055• m .-- Ot\ . (. 50 .AES toethProtil PCAlten.0thAda| 0o o 2o 3 3o 4 cI: 0 012 ui 70 8 I - 72 SOIttL r tme tint Fig 5 The TiN composition profile by AES of the palladium deposit Fig 6 TiN surface dipped in palladium contact displacement solution by X-ray mapping 200 Electrochemnical Society Proceedings Volume 99-9 .SitH egion fWe: cul Scale Factor: 2760. A Area.in Ek:5t00kv p. Sit 00 tegico:4 Aanealticuter time.0000 IA CIt Sp7 hii'" ' ho2 00 30 4o 0 50 00 70 00b h O Fig 4 The TiN composition profile by AES of the copper deposit 641h iES epithP~otite Pt atlonnatlna H ie tile 9toecime. I Smtten lim: 04.ating t3 Jan 59 Spdes. 9SOS Bin Oti3t 0 50 Ec 5 00ii 60 Siale Faitnr 009Otk¢/tle fseet: O.096 kilo to Offuet OtOtO . 0. Modulated Reverse Electric Field Copper Metallization for High Density Interconnect and Very Large Scale Integration Applications JJ. The key technical objectives for this research work are: 1) void-free copper metallization. AFRL/SNDI WPAFB. and 4) simple. The results from our experimental study show that by proper tuning of the MREF frequency and cathodic to anodic charge ratio. However. Ohio 45433-7322 ABSTRACT We are currently developing a copper electrochemical metallization process for very large scale integration (VLSI) and high density interconnect (HDI) applications using a modulated reverse electric field (MREF) waveform utilizing a short cathodic duty cycle and a long anodic duty cycle. there are considerable challenges for extension of PTH electroplating processes to the smaller features used in HDI and VLSI applications. these objectives can be realized for features in the range of 0.. G. INTRODUCTION Metallization of plated through-holes (PTHs) for printed wiring boards (PWBs) is accomplished by electrodeposition of copper. Electrodeposited copper is also the leading candidate for metallization of high density interconnects (HDIs) for multichip modules[& 2 and very large scale integration (VLSI) applications( 1. MJ.5 gm to 100 gim. Sun'. Inc. Via2 .D. E. void-free copper electrodeposits and either conformal or via/trench filling are required. chemical additives such as "brighteners" and "levelers" are added to the plating bath to improve the throwing power and to yield a fine-grained deposit. pulse reverse current (PRC) deposition in conjunction with additives has been reported for high rate copper electrodeposition of 31 PTHs 1 . Zhou' 1) Faraday Technology. O'Keefe 2. M. In both HDI and VLSI applications. 2) conformal copper deposition. 3) feature filling copper deposition with minimal copper over plated.D. and C. easy to control plating bath chemistry. K. Ohio 45315 2) Air Force Research Laboratory Sensors Directorate.J.E. Inman'. Taylor'. Leedy2 . Electrochemical Society Proceedings Volume 99-9 201 . In plating of PTHs for the PWB industry. More recently. The PRC process consists of a long cathodic duty cycle followed by a short anodic duty cycle and provides enhanced mechanical properties of the copper 1 electrodeposit 4 .D. 315 Huls Drive Clayton. control of the copper metallization process with additives may be problematic due to plating bath control issues and incorporation of impurities into the deposit. In contrast to the long cathodic duty cycle-short anodic duty cycle used in the PRC process. The cathodic and anodic duty cycles are the ratios of the respective on-times to the MREF period. The average current density or net Electrodeposition rate is given by: Electrodeposition rate = IStcT . ° While electrodeposited copper represents considerable promise for HDI and VLSI applications. For VLSI applications. Work by Contolini and coworkers16. By considering the fundamental differences associated with the PTH and HDI as well as VLSI applications. which would require substantial CMP. an anodic peak current. copper over-plate limits the line width and spacing which can be formed by subsequent etching101 . while new additive chemistries may initially be successful. and waste associated with CMP prohibit the "chemistryonly" approach. to. the MREF process consists of a short cathodic duty followed by a long anodic pulse. t•.Specifically. conformal and filling capability are demonstrated for vias and trenches in the 0. the additive chemistries used in "conventional" and "high throw" baths as well as PRC developed for PTHs do not provide acceptable results for HDI features in 111 the 40 to 100pm size range . Since CMP generates 30 to 50 liters of waste slurry per 8 inch wafer. we have developed a modulated reverse electric field process (MREF) for copper electrodeposition.an anodic on time. impurity incorporation. there was considerable excess copper electrodeposit. the development of a mass transport controlled additive which results in "super-filling" of submicron trenches has been reported1 51. the extreme tolerances and associated control issues. Io.It5T (1) 202 Electrochemical Society Proceedings Volume 99-9 . simple insertion of the additive chemistry or PRC processes developed for PTHs application are not likely to be successful. a cathodic on time. While they were able to fill the feature. Woodman and 41 coworkers 1 reported PRC deposition of a lpm VLSI feature. MODULATED AND MODULATED REVERSE ELECTRIC FIELD As shown in Figure 1. The sum of the cathodic and anodic on-times and the off-time is the period of the modulation and the inverse of the period is the frequency of the modulation. IL. Furthermore. and an off-time. The copper over-plate is removed subsequently by chemical mechanical polishing (CMP). the waste disposal cost associated with copper CMP for VLSI applications is 1 substantial9 . ta. However.71 and modeling by West and coworkers 181 suggest the feasibility of PRC copper electrodeposition for VLSI applications. For HDI applications. the MREF waveform consists of a cathodic peak current.5 to 100 pm size range. By "tuning" the frequency and the cathodic to anodic charge ratio (Qc/Qa). The corresponding diffusion limiting current density would then be equal to an infinite value at t = 0 and decreases to a steady state value of the DC limiting current density. Therefore. ton. the model of mass transport in a MREF waveform can be illustrated using a simple model of "duplex diffusion layer". and length to obtain a given volume. The pulse on-time.) is related to the reactant concentration gradient (Cb-C 5 ) and to the diffusion layer thickness (8) by Nernst using the following equation: ie=-nFD (aC/dx)x= = -nFD[(Cb-Cs)/8] 0 (2) In steady state DC electrolysis. duty cycles. VLSI. In MREF electrolysis. The mass transfer limited current density (i. however. CurrentDistributionin MREF Metal distribution is determined by the current distribution. and the diffusion layer tends to follow the surface contour. The advantage of MREF electrolysis is that the current can be interrupted before 8 has a chance to reach the steady-state value. and frequencies to obtain a given electrodeposition rate. As shown in Figure 2. 8 varies from 0 at the beginning of the MREF process to its steady state value when the Nemst diffusion layer is fully established. This allows the reacting ions to diffuse back to the electrode surface and replenish the surface concentration to its original value before the next current interruption. At the end of a pulse. as well as PTH applications. Mass Transportin MREF Mass transport in MREF is a combination of steady state and non-steady state diffusion processes. In a macroprofile (Figure 3a). very high instantaneous limiting current densities can be obtained with MREF electrolysis as compared to DC electrolysis. the roughness of the surface is small Electrochemical Society Proceedings Volume 99-9 203 . there are unlimited combinations of peak currents. the diffusion layer may be divided into two parts. an important determination of current distribution is macroprofile and microprofile. which was developed by Ilb [I-1'] for pulse plating. may be reduced by increasing the frequency or decreasing the duty cycle. in MREF. In a microproffle (Figure 3b). width. the pulsating diffusion layer thickness 8p (under low duty cycle) is given by: 2 8p = (2Dtoj 1/ (3) Therefore. These additional parameters provide the potential for much greater process/product control versus DC plating. For HDI. a pulsating diffusion layer of thickness 8p and a stationary diffusion layer. the roughness of the surface is large compared with the thickness of the diffusion layer.Just as there are infinite combinations of height. 8 is a time-invariant quantity for a given electrode geometry and hydrodynamics. e. the diffusion layer becomes considerably smaller and may convert a microprofile to a macroprofile. with benefits analogous to the VLSI case t 1 . the thickness of the diffusion layer under conditions of moderate bath agitation is approximately 75pro (50 to 100pm). 204 Electrochemical Society Proceedings Volume 99-9 . the optimum MREF waveform for VLSI plating should consist of a short forward duty cycle followed by a long reverse duty cycle 1141 . under pulse conditions. in this case the width of the HDI is also on the order of the diffusion layer thickness and the contour of the lines or vias are inaccessible to the diffusion layer.a "hydrodynamically inaccessible microprofile". Consequently. the pulse diffusion layer becomes considerably smaller and electrodeposition is still governed by a macroprofie. the relative influence of tertiary current distribution control (mass transport) is less compared to primary current distribution control (geometrical)"I's.m) compared to the thickness of the diffusion layer. In this manner. control the rotating speed. As shown in Figure 4. Therefore. Consequently.e. In this case. the PTH case represents a macroprofile. In most "conventional" plating applications. Consequently. We designate this a special case -. pulse current yields a more non-uniform deposit. In PTHs applications. i. For example. Consequently. the dimensions of the PTH are large (approximately 325. the dimension of surface features such as lines or vias are approximately equivalent (25 to 100pm) to the diffusion layer thickness (as shown in Figure 6). the electrodeposition process is governed by a microprofile. the substrate in question has large geometrical features and electrodeposition is governed by a macroprofile. for PTH applications. under pulse conditions.compared with the thickness of the diffusion layer. provided the electrodeposition process remains under tertiary current distribution control. As shown in Figure 5. For HDI applications.like". For VLSI applications. metallization of VLSI features is accomplished with minimal need for chemical mechanical polishing (CMP). the dimensions of surface features such as trenches are small (<1pm) compared to the thickness of the diffusion layer. EXPERIMENTAL WORK The experimental apparatus includes: 1) a rotating disk system (RDE) to mount a test wafer. followed by a short anodic duty cycle. In this case. "DC like". Pulse reverse waveforms have been developed for PTH plating consisting of a long cathodic duty cycle. "PC . the PTH "dogboning" generated during the forward cycle is preferentially removed during the reverse cycle. and adjust the distance between the cathode and anode. pulse current yields better throwing power. the optimum MREF waveform for HDI plating should consist of a short forward duty cycle followed by a long reverse duty cycle. During the forward cycle the electrodeposit is preferentially "thrown" into the trench feature while during the reverse cycle the over-plated electrodeposit is preferentially removed. i. However. Under such conditions. The copper electrodeposition tests were conducted under different electric field waveforms with an average cathodic current density of 25 to 32 ASF. The cathode rotating speed was maintained at 165 rpm. In this case. using the waveform parameters with short cathodic duty cycle . the problem of the voids and copper over plate could not be solved In PC process. A piece of titanium mesh (diameter = 55 mm) coated with iridium oxide was used as an insoluble anode. Figure 10 shows the results from the same PRC waveform at a higher frequency.e.2) a plating cell that consists of an inner cell and outer cell. exhibited even poorer throwing power than the DC or PC cases (Figure 9). As expected from the above discussion. which was controlled by the cell voltage. Device 2 had dimensions 2x that of Device 1. as previously demonstrated by Andricacos for gold plating in 50 pm features 1 I. However. The bath was pumped through the anode to the cathode under 1 /min and controlled at 25 TC. The MREF data are presented in Figures 11 and 12. Each trench in Device 1 was 5 pm long. Although PC can Improve the throwing power. better throwing power was achieved compared to low frequency. Samples were cross-sectioned with a focused Ion beam scanning electron microscope (FIB-SEM) to inspect both the quality of the copper deposits in the trenches or via-holes. The arrays were located at the center of the 6. some 100 pm diameter via-holes with aspect ratios greater than 1 were drilled into brass chip samples to evaluate the effect of MREF waveform parameters. 3) a pump. Solutions of acid copper sulfate (containing only chloride and carrier) were used as the copper electroplating bath.35 mm x 6. The wafer was diced into three 19 mm x 19 mm square devices. and Device 3 had dimensions 4x that of Device 1.5 to 10pom and then covered with an oxide layer. long cathodic duty cycle . Silicon wafer test coupons were designed and fabricated by Case Western Reserve University using 51mm diameter silicon wafers.35 mm active area in the center of each 19 mm square device. The wafers were etched with trenches in the size range of 0. Finally a conductive seed layer of 200 A /1000 A Ti/Cu or Cr/Cu was sputtered on the chip surface. modulated. the dog-boning would result in voids in the deposits with slightly longer plating time. i. 4) a rectifier that can output direct current (DC). and 5) an oscilloscope. RESULTS DISCUSSION Figures 7 and 8 show copper deposits in the 100 pm via-hole after DC and PC plating processes. and 3 pm deep.short anodic duty cycle. 1 Pm wide. respectively. Both the DC and PC cases exhibit poor throwing power as well as void or key-hole defects. Each trench consisted of a 9 x 9 array of cells.long anodic duty cycle designed Electrochemical Society Proceedings Volume 99-9 205 . the PRC waveform developed for PTH application. or modulated reverse electric fields. For HDI applications. Ratio II: Surface copper thickness to trench/via copper thickness Figure 13 shows that conformal copper deposits (without any dog-boning) can be obtained in a line (2 pm width x 4 pm pitch x 2 mm long) using the MREF (i. Figures 14 and 15 show micrographs from FIB/SEM analyses of copper deposition in 0. these results indicate that the MREF process alone. Comparison of Micro throwing Power MREF Waveform DC Thickness Ratio I 3. The MREF process demonstrated void-free copper deposits and the ability to obtain both conformal or via/trench filling with minimal copper over-plate. An important illustrative lesson is that the additive chemistry and/or PRC parameters used in PTH applications may not be simply inserted into HDI and VLSI applications.for microprofile plating.2 Quality Void Void Void-free Void-free I II III IV PC MREF MREF Ratio I: Comer thickness to trench/via copper thickness. short cathodic duty cycle and long anodic duty cycle). respectively. Table 1.e.5 pm trenches using the MREF waveform. The MREF waveforms were able to achieve conformal as well as trench filling with minimal copper over-plate. In fact.35 Thickness Ratio II 5 4 1. The surface copper film thickness can be reduced or nearly eliminated by decreasing of the charge ratio (Qc/Qa).e. offers considerable promise for metallization of features in the size range relevant to HDI and VLSI applications. Figure 18 shows the microstructure of the copper grain structure in the trench under MREF waveform.2 0. The results indicted that the MREF waveform can get higher throwing power and void-free deposits compared to DC and PC.9 1. CONCLUSIONS In summary. attempts to use the PRC process for HDI applications has lead other researchers to conclude that the 1 electroplating conditions are difficult to determine "71. However. The throwing power data are presented in Table 1. ACKNOWLEDGMENT 206 Electrochemical Society Proceedings Volume 99-9 . i.05 0. with the understanding that the HDI and VLSI applications are governed by a microprofile and a hydrodynamically inaccessible microprofile. the full potential of the MREF process can be realized. as shown in Figure 16 and 17.2 3. without complex additive chemistries such as "brighteners or levelers". Contollni. Zhou.C.C.C. C. 287.H. Fritz. VMIC Catalog No. September. Cheung. N. in IPC Printed Circuits Expo. vol. Dubin. P. Mayer. J. 145. Morrissey. J. in Proceeding of the 1998 AESF/EPA Conference.S. Soc.J. 8(1) 32-7. in IPC 3 rd Annual National Conference on HDIS 1998 11. 29 January. T. Woodmen. Dennis. filing date 14 of October. N. 196. Anderson. Belongia. A.K. Taylor.69. Proceedings of the Second International Pulse Plating Symposium. U. S. Milad and D. Linford.J. "Electrodepositionof Metals in Small Recesses for Manufacture of High Density Interconnects Using ModulatedElectric Field ". J. B.C. Proceedings volume 98-7. 48 (6). 6. 155. FL. Santa Clara. West. 81. C. Haworth. 1997 3. Plating and Surface Finishing. G. Sun. CA. Bernhardt. C.Financial support for this work was provided under Air Force Contract No. Ibl. REFERENCES 1. A.T. 86. S. P. 10. Castaldi and D. T.D. Ibl. A. Baker. 1977. Zhou. The authors gratefully acknowledge Wright Patterson Air Force Research Lab for FIB-SEM analysis and Case Western Reserve University for trench samples. and E. Surface Technology. 17. 1998 15. p.J. 2503.. R. AESF Society.M. 1998 9. April 26-30. V. Surface Finishing Society of Japan. J.filing date. 1997 Electrochemical Society Proceedings Volume 99-9 207 . Andricacos. Taylor. M. Fujinami.F. in Proceedings of International VLSI Multilevel Interconnect Conference. Electrochem. Surface Technology.F. C. N.Y. Contolini. Baygents and S. Florida. Interface. 1. 97 IMSIC-107. Sun. Angerer. Ibl. 3070. Honma. vol. Electrochem. E. Soc. and J. Patent Pending. J. R. P. and S. 1999 6. AESF. 141. Bernhardt. and J. 1999 16. E. Orlando. Inc. A. Maniwa. Kimble. 1980 13. 1998 2. and H.. Solid State Technol. Kobayashi. Applied Electrochemistry. 1994 8.25-30. 1997 7.B.. Jan. 1990 4. Ting. 1981 14. 1978 12. T. C. Andricacos. F33615-98C-1273. H. Cheh and H. 10.J.T. and H. Pearson and J. "Pulse Reverse Electrodepositionfor Metallization and Planarization Semiconductor Substrates".M. Vol. 20. 5. 40. and A. Cheng. Puippe. The Electrochemical Society. Raghavan. and R. and B. Mayer. Cathodic (-) T (+) Anodic t Figure 1. (a)Macroprofile and (b)Microprofile 208 Electrochemical Society Proceedings Volume 99-9 . Rectangular Modulated Reverse Current Waveform 0 _ distance from the cathode thickness of the pulsating diffusion layer api Thickness layer stationary of the diffusion Figure 2. Schematic Representation of Duplex Diffusion Layer Diffusion layer >> It 3• 3b Diffusion layer Figure 3. DC Plating Figure 8: PC Plating Electrochemical Society Proceedings Volume 99-9 209 .8&= 75 Wm Figure 4. Figure 7. Figure 6. Schematic of Effect of Pulse Current on the Diffusion Layer Thickness for Silicon Wafer (Drawing not to scale). Schematic of Effect of Pulse Current on the Diffusion Layer Thickness for a PTH (Drawing not to scale) 75 8&c•1= ýtm gm 7 M 75 8&=• pm 75 im Figure 5. Schematic of Effect of Pulse Current on the Diffusion Layer Thickness for an HDI (Drawing not to scale). MREF for Conformal Deposition Figure 12. MREF Copper Conformal Deposits on 2 pr Trench 210 Electrochemical Society Proceedings Volume 99-9 . MREF for Via-Hole Filling ''ti Figure 13. PRC Waveform with High Frequency Figure 11. PRC Waveform Developed for PTH Plating Figures 10.Figure 9. MREF Copper Metallization on 0.5 pm Trench Figure 15.Figure 14. Microstructure of Copper Film Electrochemical Society Proceedings Volume 99-9 211 .5 pm Trench Ji Figure 16. MREF Copper Metallization on 0. MREF Copper Metallization on 8 gim Groove Figure 18. MREF Copper Metallization on 8 pm Groove Figure 17. But to electroplate. 212 Electrochemical Society Proceedings Volume 99-9 . However. **Center for Industrial Innovation Rensselaer Polytechnic Institute.% zinc alloy conforms to this criteria and hence was selected as a candidate material for further study. A Cu-Zn alloy can be deposited from baths containing coordinating agents. a requirement that is not fully satisfied by the commonly employed barriers. Having established that a Cu-Zn alloy can be successfully electroplated. NY 12180 ABSTRACT The objective of this research work is to develop a highly conductive copper alloy based diffusion barrier for copper metallization. Troy.ELECTROCHEMICAL CODEPOSITION AND ELECTRICAL CHARACTERIZATION OF A COPPER-ZINC ALLOY METALLIZATION Ahila Krishnamoorthy*. For well known reasons (1-3).5%Zn was sputter deposited to develop an MOS capacitor and electrical testing was performed on as-sputtered and annealed samples. a diffusion barrier is mandatory whenever copper is deposited on silicon or silicon oxide. Murarka** * Materials Research Center. Hence. What makes copper more attractive is that it can be easily electroplated. INTRODUCTION Scaling of ULSI circuits to ever smaller dimensions demands an increasing number of wiring levels with finer lines. copper is not free of shortcomings. The copper-1 at. David J. In the present work. The transition from aluminum to copper as the conductor started with IBM's announcement in September 1997 (4). one needs a conducting layer. the most important being its rapid diffusion in silicon (5. but the alloys of copper are more difficult when the deposition potential of individual elements is widely separated as in the present case. copper metallization will replace AI(Cu) in future interconnects. conventional barriers tend to increase the overall line resistivity. Pure copper can easily be electroplated from simple acid copper baths. an alloy of composition Cu3. Duquette* and Shyam P. a copper-zinc alloy diffusion barrier was developed and evaluated by bias temperature stability tests.6). The criteria for selection was that minimal increase in resistivity resulted on addition of one atomic percent of second element to copper. Any material which can function as a barrier against copper diffusion that does not increase the resistivity will be an attractive alternative to existing barriers. The bias temperature stability tests indicate that the alloy possesses promising diffusion barrier properties. In addition. 2mm diameter were developed. increases the resistivity of copper by only 0. A calomel reference electrode (SCE) and a Pt counter electrode were used. From these measurements. microreverse pulse unit. metal oxide semiconductor (MOS) dots of 1. Electrochemical Society Proceedings Volume 99-9 213 . The test solution contained copper and zinc sulfate in various ratios.9ltf1cm after annealing at 250'C for 30min. at a scan rate 2 mV/s in plating solutions. The sputtered layer exhibited a resistivity of 2. The deposition potential is a function of the bath chemistry and hence by altering the bath composition. A copper seed layer of 30nm thickness. was deposited by sputtering at a 7 base pressure of 10 Torr and an argon pressure of 5mTorr.1[tfcm before annealing and 1. The resistivity of the electroplated film was computed from sheet resistance measured by a four point probe and the thickness determined by a profilometer. the desired range of potential for plating Electroplating experiments were carried out using a Dynatronix was selected. codeposition of a wide variety of compositions is possible. a situation in which the more noble metal is obtained in a higher percentage and the less noble one in a lower percentage than is indicated by the metal ratio in the solution. ammonium sulfate as a supporting electrolyte.Background Resistivity charts of different alloys of copper (with 1 at. The deposition potential can be manipulated and thus conditions can be created for codeposition of copper and Zn. The specimens were annealed at different temperatures and tested for bias temperature stability. To achieve codeposition. Cu-Zn alloy deposition belongs to an irregular codeposition process. both Cu and Zn should be simultaneously reduced to give rise to an alloy of required composition. By sputtering through a shadow mask. EXPERIMENTAL DETAILS The electrochemical measurements were carried out using a rotating disk electrode at 200rpm.25gtcm. a 50nm gate oxide with an Al back contact on p-substrate was prepared. in the case of zinc to copper. This addition. and ethylenediamine (ED) and ammonia to form coordinating complexes. The polarization experiments were performed on a copper rod to simulate the sputtered copper seed layer on a wafer. The wafers used in this work were p-type device quality wafers with 700nm of thermal oxide. Therefore Cu-Zn alloy was selected. To test the electrical stability of the Cu-Zn alloy and Cu on silicon oxide.% of alloying element added to the copper) were consulted (7). The chemistry of copper electroplating is well known (8) and commercial plating solutions are widely available. 0 U- c a• . . Although the solution composition was high in Zn. 2. 1) to a significant extent when Zn in the plating bath was high.RESULTS AND DISCUSSION Cathodic Polarization Potentiodynamic deposition of Zn.0 -----. Fig.04M 0.08M 0. The desired composition of the deposit was obtained by electroplating using a solution containing both elements in a composition ratio of 35 g/l ZnSO 4:15 g/1 CuSO 4 in an ammonia water mixture (pH = 10) -0.9V was set in Dynatronix power supply.0 1. was negligible when more than 5g/l of copper was present in solution. it represented the potential between the anode and 214 Electrochemical Society Proceedings Volume 99-9 .0 1. Calculated current efficiency from weight of copper deposit was above 98%.5 -1. where concentration of Zn in solution was 0. 0.0 Log i. R (R = ZnSO4/ ZnSO 4 + CuSO 4 ) in solution is given in Fig. The steady state region at potentials more positive to -0. 1).382V vs SCE).0 2.0 -1. 1M and the amount of copper was varied from O. When 0.. Hydrogen liberation that occurred at steady state (point 'a' in Fig.0 -2. 1 : Selection of composition by potentiodynamic polarization Fig.0. A plot of open circuit potential (OCP) of the copper (rod or deposit) as a function of weight fraction. -1. the deposit contained low zinc of the order of I to 2% in the range of potential selected for plating.06M -2 . -3.5 - 0.5V (SCE) correspond to reduction/oxidation of copper deposit or copper rod (point 'c' in Fig.08M. Point 'b' represents the deposition/dissolution of Zn.02M to O. mA/cm 2 Fig. 1 represents a typical example.02M - - . Cu-Zn and Cu was characterized for a wide range of solution compositions. 3 shows both the potentiodynamic trace of a copper rod in the selected plating solution (35 g/l Zn-sulfate and 15 g/l copper sulfate) and the potentiostatic response in the same solution. the latter being measured in Dynatronix pulse power supply where the potential was set with respect to the OCP of anode (-0. 8 0. Plating was performed above the dotted line Plating and Deposit Characterization Resistivity measured as a function of the pulse peak potential with a pulse cycle of 90ms forward 'on' and lOms 'off' (90/10) is shown in Fig. Solution : 35:15 potentiostatic -2 > U 0.7 0.15 > -0o- -0 --x-. More negative potentials were not attempted due to a large current and a resultant rough deposit. 2 : Open circuit potential as a function of fraction of ZnSO 4 in solution 1.0588 ' E [3 ' 1 0.05 0. -0.25 S-1 - .3 -0 .6 0.OV and -1. 3 Potentiostatic and potentiodynamic response of copper in a plating solution that contains 35 g/1l zinc sulfate and 15 g/l copper sulfate in an ammonia-water mixture. Electrochemical Society Proceedings Volume 99-9 215 .85 1.28V vs SCE.1 1 10 2 Current density. Plating between -1....9 Fraction (R) of 'ZnSO4' in solution Fig..5 E5I S0..potentiodynamic .the cathode and the potential of the cathode was equal to -1. ©-0. 0 ..5 E -0. 4.5 -0. mA/cm 100 Fig. -0.4.55 0.0. The higher resistivity at more negative potentials was due to higher levels of Zn in the deposit. The average resistivity in the potential range selected was of the order of 2~Q cm.6 0.4324R ..2V vs SCE did not result in measurable or observable hydrogen evolution on the copper. and that at more positive potentials was due to more dissolution than deposition. An alloy target of Cu-5% Zn provides this deposit composition on sputtering. 5 for various pulse peak potentials. plating solution contains 35 g/l zinc sulfate and 15 g/l copper sulfate in ammonia-water mixture 750 a 500 - Zn:Cu :: 30:20 0'-l. In the plating range.182V EI-0. % Zn. Plating solution contains 30 g/l zinc sulfate and 20 g/l copper sulfate in ammonia-water mixture 216 Electrochemical Society Proceedings Volume 99-9 .382V D -l.332V 0 . Cu-3.782V (SCE) (SCE) (SCE) (SCE) " 250 0 DC 90/10 90/20 Plating parameter 90/30 Fig. the pulse plating rate was not much different from that of DC plating.882V [I-0. 5 : Plating rate represented as thickness of deposit obtained per rain.1. as a function of pulse cycle at various pulse peak potentials. The potentials more positive to -0. V Fig. was selected for electrical testing.832V (SCE) (SCE) (SCE) (SCE) B:-1.8V vs SCE were not considered for electroplating as copper deposition rate was very low.282V O -0.Plating rate as a function of pulse cycle is plotted in Fig. On the basis of foregoing results. 4: Resistivity as a function of plating potential. 4 E As-plated Q Annealed Plating potential (SCE).5wt. an alloy of composition. Pulse used : Forward 90/10.982V [-0. No annealing S9E-10 t 6E-10 `U 3E-10 lE-11 -10 -5 0 Potential. V 5 10 S• --o-. Fig. 6(a) and 6(b) superimpose C-V curves of the Cu-MOS and Cu-Zn-MOS capacitors respectively. 350'C or 400'C for 30min.A 6E-10 U No Bias 30min -0-.60min -0 U 3E-10 1E-1 I -10 -5 0 Potential. tested under 'no bias' and BTA. Although Cu-capacitors failed after Electrochemical Society Proceedings Volume 99-9 217 . in the unannealed condition. 6 : C-V Plots of as-sputtered MOS capacitors on bias temperature aging (a) Cu (b) Cu-Zn The shift of the C-V curves did not occur when the capacitors were annealed prior to testing as can be seen from Figs. In Cu-Zn alloy. I1E-09 Copper No annealing '9E-10 --. aging (BTA) temperature of 200'C in steps of 30mrin and at a bias of 2MV/cm. the C-V curves moved back and forth at increasing times of biasing. after the first movement due to annealing of surface states. The samples were annealed at 250'C. the curves did not shift. In the case of copper.30rain -0.5%Zn as gate metal and an oxide of 50nm thickness was fabricated. Each capacitor was tested at a bias temperature 300'C.Bias Temperature Stability The metal oxide semiconductor with either copper or Cu-3.60min 90min X 120min Fig. V 5 10 1E-09 ll • Alloy. 7(a) and 7(b). 8(a) and 8(b) show a comparison of leakage currents of copper and of the Cu-Zn alloy as a function of annealing temperature. It can be inferred that copper diffusion into the silicon substrate did not occur when the alloy was present as an 218 Electrochernical Society Proceedings Volume 99-9 . At an annealing temperature of 250'C.. not shown here). of biasing..... at +10V (extracted from I-V curves.. C-V 8E-10 Pure copper Anneal : 250C-30min 4E-10 -- No Bias BTS 30min 4E-12 -10 -5 0 Potential. Relating the magnitude of leakage current and the maximum survival time before failure. it is clear that Cu-Zn alloy is a very promising candidate to provide a barrier for diffusion of copper. V 5 10 Fig. -5 0 Potential.30min. copper fails after 30min. 7 : C-V plots of annealed MOS capacitors on bias temperature aging (a) Cu (b) Cu-Zn Figs.BTS 60min -a-. I-V data can thus be used to detect the dielectric breakdown (indicated by leakage current). V 5 10 IE-09 n 8E-10 -o-... the C-V curve did not indicate any change.BTS 30min --o..BTS 90min x*BTS 120min - Cu-Zn alloy Anneal : 250 0C-30min No Bias "cc S4E-10 4E-11 -10 BTS 150min . of biasing whereas Cu-Zn capacitors did not fail until 150min. Accordingly.. measurements alone are not sufficient to measure failure. 5 wt. the copper alloy experienced a longer time without leaking and exhibited an excellent diffusion barrier tendency. CONCLUSIONS Cu-Zn films were found to provide an effective diffusion barrier capability to copper diffusion into silicon as characterized using C-V and I-V measurements. % of Zn). Electrochemical Society Proceedings Volume 99-9 219 .intermediate layer. This alloy did not increase the resistivity of copper above 2. At all the conditions tested.5at. The alloy film was stable against copper diffusion until an annealing temperature of 400'C.5p. 0 C 350 Fig. the leakage current measured after 150min of biasing was at least 2 . In addition. More investigation is needed to better characterize the diffusion of copper into the semiconductor and is planned for future. 1E-14 I AS 250 300 Annealing Temperature. Legend is the same for both the Figures. Another attractive feature of this alloy is that it can be easily electroplated. 'AS' stands for assputtered condition (a) Copper (b) Cu-Zn alloy. appreciably increasing the overall line resistivity. I E-2 IE-6 SLE-10 - +10V Cu.% Zn can function as a promising diffusion barrier to copper diffusion. +10V AS 250 300 Annealing Temperature.1 to 3. thus leading to a conclusion that it can replace high resistivity conventional barriers. ° C 350 IE-2 S1E-4 IE-8 U IE-10 EU BTA 0r30rmin No [60min E090mi 9 120main 0 150min Cu-Zn alloy. 8: Leakage current as a function of annealing temperature. cm in the compositional range tested (0. It can be concluded that an alloy of Cu-3. without at the same time.3 orders of magnitude smaller than that of copper. D. The Electrochem. Orlando. The American Electroplaters and Surface Finishers Society. Inc. Watson Research Center.M. Edelstein.J.ACKNOWLEDGMENT The authors acknowledge the financial support of Semitool. 17 (1997) 4. and Y. Private Communication. Monday. 1997. Safranek. REFERENCES 1.W.-J. New York. NY. Florida (1986) 220 Electrochemical Society Proceedings Volume 99-9 . J. (1973) 7. Dl. Swanson. I. 4. P. Sai-Halasz. Yorktown Heights. II Edition. and in particular. Harper. ElectrochemicalSoc. Graham. Milnes. September 22. Interface.C. P.A. "IBM to make smaller and faster chips . Soc. the helpful comments of T.. Andricacos. Wiley. R. 32. Ritzdorf and L. IBM Research.G. IBM Res. Zuckerman.B. McBrayer.M. MT. The New York Times. Sigmon. Mii. 39. G.. W. The Properties of Electrodeposited Metals and Alloys. 8.H. J. Spring (1999) 3. Gwynne. 5. 133.Second breakthrough in a week has wide uses". and T. Kalispell. A. Develop. T. Deep Impurities in Semiconductors. L. 383 (1995) 2. J... 1242 (1986) 6. D. Munford. X-ray diffractometry. Florian6polis -SC-Brazil. Aspects related to the deposition process and deposited layers were investigated by voltammetry. Rutherford backscattering and magneto-optical Kerr effect. F. ABSTRACT In this work we investigated the electrodeposition of Cu. Zanchi. Co AND Ni ON (100) n-Si A. 0. M.ELECTRODEPOSITION OF Cu. 0. U. Delatorre. P.K. CEP 88040-900. INTRODUCTION The electrodeposition technique has a major advantage over other methods of thin film production. L. In this area. It is our purpose in this work to present some interesting results obtained by electrodepositing thin layers of Cu. The main objective was to understand the electrochemical aspects related to the preparation and the physical properties of nonmagnetic and magnetic thin layers electrodeposited on Si. without the presence of a seed layer. Brazil. with or without H 3B0 3. R. Schwarzacher H. M. Departamento de Engenharia de Materiais. The films were prepared under potentiostatic control from different aqueous solutions containing basically the appropriate sulfate (CuSO 4. SC. Co and Ni thin films on Si substrates. Martins. F. Co and Ni directly onto Si substrates. W. requiring relatively inexpensive equipment. A. UNESC. Tyndall Avenue. As it is well known. scanning electron microscopy. the possibility of performing deposition at normal conditions of pressure and temperature. C. Box 476.e. E. Co and Ni with regular granularity were obtained. Cricidima. current transients. electrical and magnetoresistive measurements. Florian6polis -SC-Brazil. Different groups have already . being considered a breakthrough the success of this technique on one of the most technologically advanced areas.. Additionally. S. namely. thin compact metallic layers of Cu. The same technique is also being currently used in the preparation of metallic nanostructures (2). H. Electrochemical Society Proceedings Volume 99-9 221 . Wills Physics Laboratory. Seligman Curso de P6s-Gradua~do em Eng. i. Bristol BS8 1TL. Pasa. L. L. Mecanica. Boldo. 0. M. the manufacturing of chips (1). UFSC. Typically. namely. Sartorelli and L. A. COSO 4 or NiSO 4) and Na 2SO 4. electrodeposition gained renewed attention. Bizetto. M. Flori*. semiconducting substrates can conduct sufficiently well to allow direct electrodeposition. L. UFSC. electrodeposition of Cu is being used for the fabrication of interconnects in ultra-large scale integration (ULSI) technology. G. de Oliveira Departamento de Ffsica. Porto Alegre. showing a magnetoresistive effect of about 5% and a field sensitivity over 0. An adhesive tape was used to mask off all the substrate except for the area on which deposition was desired. Co and Ni on silicon. In particular. Rutherford backscattering (RBS). Brazil. magnetooptical Kerr effect (MOKE). the time between immersion and application of potential control was kept to a minimum. as well as the etching solutions used to clean the samples prior to the electrochemical experiment. technical grade (100) oriented Si wafers. we draw attention to the fact that also magnetic multilayers have been fabricated. 222 Electrochemical Society Proceedings Volume 99-9 .demonstrated the feasibility of such technique (3-7). Thin films of Cu. n doped for a resistivity of 1-7fl. All electrolytes. In order to minimize chemical reactions between the substrate and the constituents of the electrolyte. Such investigation could lead to the integration of an efficient. inexpensive and convenient method for fabricating thin layers with the silicon technology. EXPERIMENTAL The substrates used in our experiments were single side polished. Electrical contact to each substrate was achieved through a GaAl back contact.cm. together with a computer-controlled potentiostat. RESULTS AND DISCUSSION We will present some results obtained by electrodepositing thin films of Cu. Emphasis will be given to different aspects on each case.04%/Oe (8).cm. The electrodeposited layers were investigated by scanning electron microscopy (SEM). The Pt foil counter electrode was placed directly opposite to the working electrode (substrate). Co and Ni on Si were prepared from different aqueous electrolytes containing sulfates of the respective metals as well as some supporting electrolyte/additive. the morphology and growth rate of copper thin layers. A three-electrode cell was used. The potentials were measured against a saturated calomel electrode (SCE). which was placed as close as possible to the Si surface to minimize the ohmic potential drop in the electrolyte. namely. X-ray diffractometry (XRD) as well as by electrical measurements. Each substrate was cleaned in a 5% HF solution and then immediately transferred to the electrodeposition cell. Electrodeposition of thin films and multilayers directly on semiconductors is therefore a subject of fundamental and practical significance. RBS analyses were performed using a 3. Voltammetry and current transients were used to analyze the electrochemical aspects of the deposition. hydrogen evolution during cobalt deposition and structure and electrical properties of nickel layers. were prepared from analytical grade reagents and filtered deionized water with a resistivity of 18 MQ).OMV Tandetron ion implanter at the Physics Institute of Universidade Federal do Rio Grande do Sul. Figure 2: SEM micrographs of deposits obtained with a solution containing 0.76 -0.76 E vs SCE (V) Figure 1: Cyclic voltammograms (20mV/s) obtained with electrolyte containing 0.0.5M Na 2SO 4 . The applied voltages for the potentiostatic depositions were chosen from the voltammograms near the onset of the cathodic current.42V (300 seconds).25 0.0 C C U -2.0.104M) and sodium sulfate (0.013M and 0.60 -0. Cu Thin Films on Silicon Figure 1 displays a typical voltammogram obtained using Si electrodes and electrolytes containing copper sulfate and sodium sulfate.60 0.5M) were investigated.013M CuSO 4 and 0.25 0.0 . a large nucleation loop resulting from the reduction of copper ions on a foreign electrode is observed. As a general feature. electrolytes containing two different concentrations of copper sulfate (0.013M CuSO 4 + 0.0 -0. Concerning the morphology of the electrodeposited layers.00 0. Electrochemical Society Proceedings Volume 99-9 223 .6 E s Z -1.5M Na 2SO 4 at -0. related to the initial stages of the deposition. a deposition of 2. For this electrolyte. yielding an average value for the film thickness. These results are in good agreement with a factor of 8 in the relative Cu ion concentration between both baths. at a deposition potential of -0.5 A/s was obtained for the 0. One observes an increasing disagreement between both curves with deposition time.5M Na 2SO 4 and the applied potential was -0. as calculated from the width of the RBS depth profiles. 5000 4000 200a 2000 04 120 8 8 0 2000 04 100 200 300 400 Channel Figure 3: Series of RBS spectra of Cu thin films as a function of deposition time. 224 Electrochemical Society Proceedings Volume 99-9 . RBS measurements where done on samples electrodeposited from electrolytes with different concentrations of copper ions and different deposition times.104M of CuSO 4 and 0.Figure 2 shows a SEM micrograph of a layer. electrodeposited at -0. Figure 4 also shows the nominal thickness of the Cu layers. with a diameter beam of about 2mm directed towards the center of the sample. On the other hand. This compact and granular structure is a representative result for films electrodeposited from both electrolytes. In order to investigate the uniformity and the growth rate of copper thin films.013 M CuSO 4 bath. RBS measurements are performed locally.5M Na 2SO 4. Figure 3 shows a sequence of RBS spectra obtained from an electrolyte containing 0. as calculated from the electrodeposited charge. Analysis of the current transients. indicate an instantaneous nucleation process (7). Figure 4 shows that film thickness.5V. As for this system no hydrogen evolution is expected. The electrolyte used contained 0. grows linearly with deposition time at a rate of 22 A/s. Whereas the electrodeposited charge reflects the overall process. Uniform layers with increasing thicknesses are clearly seen. the observed discrepancy between both curves can only be explained if one assumes a thickening of sample edges with increasing deposition time.104M CuSO 4 and 0.5 V.42V for 300 seconds. application of very negative potentials caused. In order to characterize structurally and compositionally the electrodeposited Co layers. Co Thin Films on Silicon Similarly to the Cu/Si system.OOOA were obtained from both electrolytes. for electrolytes containing 0. RBS measurements were performed on films obtained from two electrolytes with different Co concentrations plus 0. In such electrolytes.7000 0 6000 0 0 Electrodeposited charge RBS S5000 C G 4000 .026M and 0. the metallic ion concentration showed a marked influence on the kinetics of film formation.5 M Na2 SO 4 and containing no boric acid. 104M CoSO 4 . Co layers with good adherence and thicknesses ranging from 100A up to 7.07 V for the less concentrated electrolyte and -1. Co reduction as well as evolution of hydrogen. Electrochemical Society Proceedings Volume 99-9 225 .15 V for the more concentrated one (9). 3000 2000 1000 50 100 150 200 Time (seconds) Figure 4: Thickness of Cu thin films as function of deposition time. from a 0.5 M Na 2SO 4 bath. homogeneous. Low concentrated electrolytes induced a progressive nucleation mechanism. Films were electrodeposited at -0. Film thicknesses obtained by the RBS technique were used to determine the cobalt average current density cobalt. granular and compact layers were obtained for all solutions. respectively. as calculated from RBS measurements and from electrodeposited charge. The applied potential was -1. simultaneously. 104M CuSO 4 + 0. Electrolytes containing cobalt sulfate and sodium sulfate. Influence of hydrogen on the deposition process was evidenced in electrolytes containing boric acid. On the other hand. 9).5V. an instantaneous nucleation mechanism was observed. Deposition rates of 5. as well as the adequate deposition potential and the possible influence of hydrogen evolution were conducted for the Co/Si system (9). calculated from the measured deposition current (7). with and without boric acid were tested. studies concerning the composition of electrolyte.6 A/s and 28 A/s were observed. irrespective of the presence of boric acid (7. which was then compared with the average total current density itoteI. whereas for high concentrated baths. As a general result. Concentration (rM) Figure 6: Mean total and cobalt current densities as a function of the cobalt sulphate concentration for a deposition potential of-1. Moreover.3 1. 0 0 20 40 C 00.00 100 120 CoSO.. At more negative values the total current increases markedly due to hydrogen evolution.: .1 1.5M H3B0 3 electrolyte. As observed. hydrogen evolution seems to be inhibited for highly Co concentrated baths or for low applied potentials. Figure 5 shows the dependence of itoral and icoball on the applied potential. indicating that the hydrogen partial current remains constant with increasing cobalt sulfate concentration. data. Figure 6 shows the dependence of itota1 and icobal on the electrolyte cobalt concentration.4 E vs. 226 Electrochemical Society Proceedings Volume 99-9 . for less negative applied potentials the influence of hydrogen evolution is negligible and the efficiency of the process is higher than 93%.5M Na2SO4 + 0.1 E 4 3 1.12 I' o•10 E roW. both curves show a parallel behavior. SCE (V) Figure 5 Mean total and cobalt current densities as a function of the applied potential for a 0. l V.2 1.0 1. 7I. These results suggest that H2 evolution and cobalt reduction are two independent processes.104M COSO 4 + 0. Despite the dispersion on the Gcoba. 0 0 02 -0.104M CoSO 4 and 0.516 A. 1.0M Na2SO4 and 0. shown in this figure.0M NiSO4. One observes a systematic increase in the relative height of the (220)-peak with increasing thickness. Figure 7 illustrates a MOKE-measurement performed on a 1000 A Co film with the magnetic field applied parallel to the film surface. with a lattice parameter of 3.0.004 -400 -200 0 200 400 Applied Field (0e) Figure 7 Kerr hysteresis loop of a cobalt film. suggesting therefore the absence of in-plane anisotropies. RBS measurements showed the layers to be uniform and yielded a deposition rate of 45A/s (7).002 (0 C 0. The evolution of the XRD spectrum as a function of the deposition time (and also film thickness) can be better visualized in Figure 9.22 51 Mc(hkl)= [i (hkl)Pro ed1 Electrochemical Society Proceedings VohLmre 99-9 227 . Ni Thin Films on Silicon Ni thin films with metallic appearance as well as granular and compact morphology were obtained from an aqueous electrolyte containing 1. The orientation factor M.. Figure 8 shows XRD spectra of Ni films electrodeposited on silicon for different deposition times at -1. is defined as: [I (hk1)/l (111)]. electrodeposited from a bath containing 0. The diffraction patterns correspond to a fcc structure.OV.5M H3BO 3. (220) and (311). at -1.9.CPDs Volue. No significant change in the hysteresis loop was observed by rotating the applied field relative to some fixed direction in the substrate plane.. which depicts the relative increase of the intensity corresponding to planes (200). which is indicative of texture formation.000 0 2 S.15V during 60s. The observed hysteresis indicate an inplane magnetization with a coercive field of about 90 Oe.004 0.5M Na 2SO 4 . . . electrodeposited on silicon at a deposition potential of -I. LOM Na 2SO 4 and 0. From this figure it is clearly seen the tendency of the Ni-film of growing with a texture in the [2201-direction.OV.5 1.5 2.where the intensity of the peak (hkl) is normalized with respect to the peak (11) and compared to the a similar ratio obtained from the JCPDS data (10).. A 3.5 50 100 150 200 250 300 time (a) Figure 9 Orientation factor M(hkl) as a function of the deposition time for Ni films grown on Si (100). 228 Electrochemical Society Proceedings Volume 99-9 .0 I * 220 200 311 2. from a 1.0 "1. 4: _ 0 1000 . 2004 F4 1800 F0 JL I 2e Figure 8 X-ray diffractograms for Ni thin films with different deposition times. A.5M H3BO 3 electrolyte.0 M NiSO 4.0 0. it was possible to improve the current efficiency of electrolytes containing boric acid by increasing the concentration of cobalt sulfate in the bath. Figure 10 shows a Mott-Schottky plot for an electrochemically fabricated Ni/n-Si contact. For Ni films electrodeposited from a highly concentrated sulfate electrolyte. uniform layers with a compact and granular morphology could be obtained. for the structure formed by the electrodeposition of a Ni thin film on top of a n-type Si substrate. The Ni film was prepared from an aqueous electrolyte containing I. On the other hand. as a function of the deposition potential.OV during 150 Seconds. Electrochemical Society Proceedings Volume 99-9 229 .30 were determined. From current-voltage curves.7MHz O. without the need of a seed layer.5M H 3B0 3 at a potential of-l.0 -0. 4X10" 0 A 1MHZ 0.0 -1. Co and Ni could be successfully deposited onto Si substrates. LOM Na 2SO 4 and 0. The plot is linear between 0 and 2V for the three different frequencies tested. These barrier heights are in agreement with reported values for junctions fabricated by vapor deposition of nickel layers on silicon (11. it was observed the formation of texture in the (220)-direction. values as high as 0.0 Voltage (V) Figure 10 Mott-Schottky plot.12). From RBS data the deposition rates as well as the current efficiencies could be determined. For all three metals. for three different frequencies.60eV was obtained.Electrical measurements were performed in order to determine the electrical properties of the metal/semiconductor contact.0 0. Electric measurements performed on Ni/n-Si structures yielded values for Schottky barriers which are comparable to the ones obtained for junctions fabricated by vapor deposition.5 -2.66eV for the Schottky barrier and ideality factors of about 1. From the intercept with the potential axis and the donor density a barrier height of about 0.OM NiSO 4.0 . CONCLUSIONS It was shown that thin films of Cu. For Co films it was shown that addition of boric acid caused the evolution of hydrogen.MHz 0 3010" 'b 2X1017 1X10" 0.1. W. Natarajan and P. Oskam. Ma. D: Appl. Apple. Schwarzacher. Sze. D'Ajello. p. P. dos Santos Filho. R. for providing RBS facilities and to Dr. 3. Phys. Phys. Munford. 0. L. M.. Denicol6 and I. 6. 173. 81. Philadelphia (1995). 8. Oxford University Press. Hormmelgen. 7. Stat. G. O'Keeffe. L. Schwarzacher. J. 52. Porto Alegre. Hasenack. 291. K. Interface. L. Electrochem. A. L. W. J. Searson. M. A. A. C. 73 (1999). H. S. Gao. Rhoderick and R. T. A. 10. New York (1981). C. p. Wiley. C. 3889 (1995). 9. L. Norton. 1002 (1998). Seligman. UFRGS. 4. L. Soc. W. 12. Interface. Microelectronic Engineering. Novogradecz and P. P. T. 33. G. Joint Committee on Powder Diffraction Standards.0090/97-9 and RHAE 610021/99-0) and CAPES is also acknowledged. LabMAT/UFSC. Phys. C. Wisniewski. E. Willians. Financial support from the British Council (UK). 142. S. P. Sartorelli. 32 (1999). A. Phys. Physics of Semiconductor Devices. 65 (1997). Moni Behar. Phys. for providing the microscopy facilities. 73. A. A. Pasa and C. 0. J. Pasa and W. P. A. 31 1927 (1998).ACKNOWLEDGEMENTS The authors would like to express their gratitude to Prof. Martins. 11. Apple. 2. 32 (1999). P. Manuscript under preparation. S. 230 Electrochemical Society Proceedings Volume 99-9 . Schwarzacher. 5. A. Maliska. F. 8. 8. card 04-0860. Physics Institute. 7595 (1998). Oxford (1978). H. M. Metal-Semiconductor Contacts. Pasa. G. International Center for Diffraction Data. Kasyutich. D'Ajello. Long. and the Brazilian agencies CNPq (PADCT III 62. C. Sol. de Oliveira and A. I. I. Pasa. A. M. dos Santos Filho. Lett. M. A. REFERENCES 1. G. J. Andricacos. Schwarzacher and S. M. J. Vigneron and A.For doing that we have performed XPS analysis of interfaces buried under thin (around 20nm) copper layers deposited by electrochemistry. Electrochemical Society Proceedings Volume 99-9 231 .45 av des Etats-Unis 78035 Versailles Cedex. J. we study the Cu / p-GaAs interface formation provided by electrochemistry. which governs the nature of the electron transfer. France Abstract Electrodeposition of copper was performed on p-GaAs. It is necessary to understand each stage of the growth and particularly to have information about chemical evolution of interfaces to implement reproducible technology.M.X-Ray Photoelectron Spectroscopic Characterisation of a Cu / p-GaAs interface E. We try in this paper to determine if chemical transformations are also present. In this paper. Electrochemical deposition has a good chance of becoming the preferred method. XPS Studies of the buried interfaces show that an interracial chemical reaction happens.Etcheberry IREM Institut Lavoisier UMR CNRS C 0173 University de Versailles .arsenic compound is detected.M Sutter. Introduction Copper metallization for submicron integrated circuits receives much attention. Recent papers [1. A copper.2] report that electrical or optical transformation happen at the interface. The electrochemical behaviour of Cu 2' depends on the semiconductor. a well defined anodic peak is detected 32Fj• Fig I cyclic voltammogram on (100) p-GaAs inthe dark. rinsed thoroughly in MeOH and dried with Argon The electrochemical set up was a classical three electrode configuration with a saturated mercurous sulphate electrode (MSE) as reference. (a) IM H2SO 4 .3V/MSE.5 V/MSE. The X-Ray photoelectron spectroscopy measurements were performed on a VG ESCALAB 220i-XL spectrometer. Only the intensities of the electrochemical features change proportionally to the Cu 2 concentration. The spectra were recorded with pass energy of 20 eV or 8 eV in a constant analyser energy mode. the shape of the voltammogram is always the same.V=20mV.0 ElV vsfMSE Cu 2÷+2 e---* Cu 232 Electrochemical Society Proceedings Volume 99-9 . The X-Ray was a monochromatic Al Kot line. The cathodic current is associated with the Cu 2* reduction according to : -0..Experiments The electrochemical measurements were performed on (100) oriented p-GaAs single crystals. (a (b) I I III 4 -1 -10 centred around -0.5 0.sl.With various Cu 2÷ concentrations. and in the following reverse scan.+ 10-3 M Cu S04 IM 2 0 ". The surface was etched previously by mecanochemical polishing with Br 2MeOH. Results and Discussion As shown in fig 1 two cathodic and anodic domains appear in the cyclic voltammograms obtained in the dark. (b) HISO4. The XPS peak areas were measured after substraction of the background using the Shirley's method. As soon as Cu2 is added to the solution a reduction current appears " below-0. Another important observation is an increase of the As/Ga ratio compared to that of clean GaAs. These two results show that the (copper / p-GaAs) interface is not abrupt and that a thin interfacial layer is present. V=-0. I I I 44 43 42 41 40 Binding Energy / eV The strength of the signal decreases with increasing thickness of the copper deposit. Cu coatings modify the AS3d responses of p-GaAs surfaces.85 V/MSE. but without any modification of its spectral distribution. Cathodic charges between 3mC/cm 2 and lOOmC/cm2 have been used in this work to vary the thickness of the deposits. The anodic peak can be interpreted as the electrochemical dissolution of the previously deposed Cu film according to: Cu -_ 2 Cu '+2 e- Electrochemical copper deposition is performed at constant potential. the time and the Cu2" concentration in solution. The low binding energy contribution ( As3d Electrochemical Society Proceedings Volume 99-9 Ga) Can 233 . I AS 3 d I I I Z d "- Ab Fig 2 XPS signal of As3d core level. A typical three bump signal was recorded as shown in fig2. The latter is determined by the potential. a)signal of an etched surface or copper coated surface cleaned by several minute treatment in 1M HCI. the strength of the Ga3d signal falls too. Due to the copper deposit. Coated electrodes were dried under N2 stream and transferred toward the analysis chamber sheltered from air interaction in a glove box. Electrodes were removed from the solution at the rest potential just after the end of the growth. Each spectrum is decomposed into two main contributions. each of them split in two components by the spin orbit coupling of the 3d core level. An accurate simulation of the As3d region can be done (fig3) for the modified interfaces.giving rise to a copper deposit on the surface. b) signal coming from a buried interface obtained by copper electrodeposition. using strong fit constraints demonstrating that a well established chemical transformation involving arsenic is caused by the copper deposition. 7 1.8-1 eV) can be observed associated with additional small charging effect. with a majority of values comprised between I and 1.67 Contribution 2 3d 3/2 42.8 0. neither for arsenic nor for gallium.9 eV between the two As contributions is relatively strong.15 0. the area ratio is As3d* / As3d a = 1.95 0.25-4. higher shifts (0.82 0.5 3d 5/2 41. We can assume that the additional XPS contribution is associated either only with elemental As or with a Cu-As compound.6-0. (1) AsGa (dashed peaks). However.9 eV (T0.65 0. The high energy contribution associated to a new chemical environment of the As interfacial atoms. A characteristic peak fit table is given in the table I associated with the fig 3. We note that no contribution associated to oxide is detected. The chemical bonding of the excess amount of As can be discussed on the basis of two considerations. (AS3d) is As 3d Fig.8 eV range. 3d (2)As M new contributions. for anodic oxide.67 0.5.67 1.be associated with the GaAs lattice response. So our results suggest that the excess As is not present only as elemental As and they raise the 234 Electrochemical Society Proceedings Volume 99-9 .8 The energy separation between the two contributions is always close to 0. The energy shift of 0.5.3 Simulation of a S3a As3d signal using two contributions. The ratio between the two contributions is in the range As*/As~a =0.05).5 3d 5/2 41.63 44 43 42 41 40 Binding Energy/eV Table I :fit parameters of fig3 Contribution 1 3d 3/2 Centre (eV) Fwhm (eV) A (eV) Ratio 5/2 -3/2 41. Elemental As on GaAs generally gives rise to a shift in the +0. Only a chemical binding between Cu and As can explain these correlated Electrochemical Society Proceedings Volume 99-9 235 .85-0.4.question of bonding As and interracial copper. More interesting are the modifications observed on Auger lines for which positive shifts as large as I eV for the principle maximum and shape line modification are observed as shown in fig. The copper signal for the Cu 2 . When we looked at samples with thinner coating we observed a modification of XPS and Auger copper signals.05 eV with a FWHM in the 0. b) thin copper layer. I I I I I I ra Fig 4 r3 Differentiate CULMM Auger line.3/ 2 is accurately centred at 933.25 eV. The reproducibility of the response on thick layers allows us to check the handling procedure since no oxidation of copper occurs after its deposition on the GaAs surface. the Cu2p3/ core level 2 and the CULMM Auger lines. The Cu2p3/2 level slightly shifts positively in energy with a FWHM enlargement that can reach 1. the copper signal should be the sum of a copper metallic contribution and an inner one associated with modified buried copper at the Cu-GaAs interface. 576 572 568 564 Binding Energy/eV An interesting point is that the amplitude of the modification is all the larger as the coating is thinner. This suggests that for intermediate thickness. For the XPS and Auger signals we used these spectra as references. The associated CULMM Auger lines have the specific features of metallic copper with a principal maximum at 568. Analysis of copper signals.9 eV range. supports this assumption for thick copper layers ( no As or Ga signals detected).a) thick copper layer.4 eV. the more common composition is around acu(AsV aAgC. The intensity of the peak depends on the previous cathodic treatment as described elsewhere. Then the As enriched surface interacts at ambient temperature with the inner part of the metallic copper layer. So in our case the situation is more complex because of the result of an interfacial reactivity that must involve several steps. However. When we analyse the surface after the anodic oxidation. The low energy one is fixed as a pure metal and using this fitting procedure we see that the ratio of areas divided by the elemental sensitivity factors fluctuates in the a. We can consider that this surface decomposition comes as the initial step at the beginning of the coating. in all cases limited arsenic enrichments and gallium losses are present indicating that GaAs surface undergoes a chemical or more probably an electrochemical instability through a predominant valence band process during the electrodeposition of copper. This agrees with the lack of correlation between the increase of the aA. we observed that the surface has been cleared from most of the copper coating. Conclusion In this work we have shown that p-GaAs coated by a copper layer undergoes a complex chemical transformation. We performed an anodic oxidation of the coated samples previously analysed by XPS to verify that the electrochemical behaviour of the surface analysed in UHV is not perturbed.(ASI aA. Cu 2 p and Auger signals coming from the buried interface shows that Cu-As bonds are present in the interfacial layer. The study of the As3d. Whatever the initial coating conditions we observed in H2SO4 solution the same anodic peak whether or not the sample had been analysed by XPS. Over this interracial layer a pure copper layer can grow.(Cu) =0. In literature several compounds are described among which the more common is Cu 3As.7 to 5 range. aGa ratio and the copper thickness. equal to 1. As the time between the end of electrochemical deposit and the beginning of the XPS analysis is longer than five hours we cannot give information about the kinetics of the interfacial transformation. Nevertheless.XPS and Auger modifications. Experiments show that Cu -As phases rich or poor in As can be obtained. This suggests that no phase with a well established composition is present. The phenomenon is only located at the interface. a residual layer was present (<10% of the initial Cu signal) that can be considered as a part of the buried modified interface. The 236 Electrochemical Society Proceedings Volume 99-9 . Simulations of enlarged Cu 2p3/21evels can be done using two contributions. Nevertheless. K.). Soc. Soc.M. Literature P.(accepted for puplic. Sutter. Nevertheless we have detected residual deposit of Cu and As on the p-GaAs surface. W. Finally we shown that the oxidation of the coated electrodes eliminated most of the Cu layer. Gomes J.global system is stable over two weeks. Struble. These observations are in accordance with our previous optical results that suggested that recovery of the GaAs surface is not complete in a lot of case. The strong modification of As/Ga ratio demonstrated that a first step happens with a limited surface decomposition during the copper coating of pGaAs.. Vereecken. These observations agree with results of the literature that suggest preliminary interfacial reorganisation.M..P. I Gerard. Electrochem. A. (1999) Electrochemical Society Proceedings Volume 99-9 237 . Electrochem. 145 3075 (1998) E.M. Etcheberry J. The interaction seems specific of p-type because at this time we have never observed on n-GaAs the modifications of the interface composition described for p-type. and eliminates lateral (dragging) forces on the sample. the AFP is used to characterize a post-CMP Cu Damascene processed sample. A new type of metrology tool. Dawson. Introduction Characterization of Chemical Mechanical Planarization (CMP) processes has become increasingly important in both process development and production monitoring for deep sub-micron device manufacturing. These are superior resolution and the elimination of sample damage. The low tip force of the AFP also contributes to high lateral and vertical resolution as sample distortion is reduced. High resolution is also achieved due to small tip geometry (5-10nm 238 Electrochemical Society Proceedings Volune 99-9 . Each AFP profile consists of up to 262k data points with a DSP sampling rate of -20kHz. CMP effects such as dishing. The AFP was developed to bring to bear two fundamental advantages that the AFM has over all stylus profilers. Ge.22/am Cu filled trenches were measured at the two post-CMP stages. Profiling speed can be up to 200ltm/sec for the non-destructive measurement. combining AFM resolution and long scan profiling capability. erosion and plug recess have been measured by a combination of stylus profilers. AFM's and other metrology techniques. USA ABSTRACT Characterization of Chemical Mechanical Planarization (CMP) processes has become increasingly important in both process development and production monitoring for deep sub-micron device manufacturing. plug recess and line width and depth. and a profile drive capable of executing linear profiles up to 100mm long anywhere on the wafer (Figure 1). The tool has X and Y drives capable of positioning the sensor head at any point on a 300mm wafer with lgm repeatability. Instrumentation The AFP was used to make the measurements shown in this paper. In addition to its linear profiling capability. for example. Additionally. and interlayer dielectric (ILD). A new metrology tool. CA 93117. traditional stylus profilers cannot measure these smaller surface features due to insufficient resolution and the distortion of the feature being measured. the silicon cantilever holding the tip is driven to resonate at its fundamental frequency (10s to 100s of kHz). shallow trench isolation (STI). To highlight the capability of this new technique. The sample studied is a 200mm wafer containing fine Cu-filled trench test structures with varying trench widths and pitch. Both long-range profiling and high resolution AFM imaging were used to characterize dishing and erosion effects on this wafer.Copper CMP Characterization by Atomic Force Profilometry Larry M. the AFP incorporates all of the functionality of the APM. erosion. the Atomic Force Profiler (AFP). It is the lateral shear force generated by stylus profilers that typically leads to sample damage. the usable speed range for a particular sample is determined by specifics of feature size and spacing. a 10mm profile will have a sample density of 26. providing measurements of dishing. The CMP process was applied after the trench filling. the AFP also has the isolated structure supports acoustic isolation panels. In this mode. In addition a second Cu sample with 0.2 samples per micron (or 38nm spacing between data points). Sampling density is high enough for die length profiles to be made with sufficient resolution to allow the user to zoom in and view fine features in the same profile without re-measurement. tungsten. Digital Instruments. which provides extremely low tip force. and Tim Cunningham. specifically its ability to execute high resolution raster scans up to 70pm x 70pm square. The small feature sizes involved in these processes place stringent requirements upon CMP characterization and metrology equipment. Dean J. only "tapping" the sample briefly and with minimal and almost purely normal-rather than lateral-force. The AFP can be used to characterize CMP processes of dual damascene. With the reduction in critical dimensions into the deep submicron range. Veeco Metrology Group. Thus. The sensor head itself is an AFM specifically designed for highly repeatable metrology measurements. which reduce measurement noise induced by airborne vibration. has been developed. the Atomic Force Profiler (AFP) was developed which combines both long profile capability of the stylus profiler with the high resolution of an AFM. To eliminate sample damage. Santa Barbara. the AFM sensor head is operated in TappingMode. 112 Robin Hill Road. The cross-section graphs show a reduction of average step height from 10nm to 4nm. In the image.1. showing the Cu filled trench pattern in respect to the upper lielectric. All have the same line/space ratio of land the line/space ratio increases in successive test structures from left to right in both profiler scans. The trenches were filled via an electroplating process. Figure 3a clearly shows that the sample is overpolished (shown as a deeper recess) in the transition regions between the upper dielectric and the Cu-filled trench patterns. Figure 2 shows a 21.4. The images clearly show that the Cu lines protrude above the field oxide. and repeatability required for CMP and other demanding metrology applications.t. Srosion was measured to be in the range of 30-60nm for rench width 0. The CMP process was applied after the trench filling.22j. The recess is due to the erosion effect of CMP. width and space. "ecessed Electrochemical Society Proceedings Volume 99-9 239 . Figure 3 shows the zoomed (5. Figure 4 also shows stronger erosion effect on the Cu section with a line/space = I than that of line/space = V2.22. The noise floor in closed loop mode is .5mm-long profile extending over four test structure patterns within a single die. such as measurement of roughness on smooth surfaces. the wider and higher lines with textures are Cu lines and the smoother.mx4ltm AFM image in the transition area shown in Figure 3.tm Cu lines are compared to two post-CMP stages.5ltm) in Figure 2.The Metrology AFM head incorporated in the AFP has been designed to produce the tight limits on axis orthogonality. Each test pattern has a different combination of trench. Measurements and Results The AFP was used to characterize post-CMP Cu Damascene processed samples. The fist sample studied (Figures 2 to 5) is a 200mm wafer containing fine Cufilled trench test structures with varying trench widths and pitch. The AFP step height repeatability is < 5A on a 14sm step height standard. the erosion increases vith trench width. These measurements are made possible by the AFP's flexible dual mode operation-long scan mode and 3D AFM imaging mode. 6b. The head can also be used in open loop mode for measurements for which a lower noise floor is required. Total indicated runout (TIR) is <10nm for a 10mm profile.5-2!. flatness of the x. The die-level dishing was 0. the noise floor is . For Cu-filled renches with line/space ratio = 1/2. The additional recess over the average erosion of the Cu trench pattern is -30nm. The average height of Cu lines relative to the dielectric is -5nm. the excess Cu on top of the interlayer dielectric was removed by CMP.5A RMS in a clearroom with 76dBc acoustic noise.1 degree between the axes. The 2 measurements show the effect on 0. The proprietary design of the piezo stages results in a very flat x.5psm. where a sample with 0. High resolution AFM imaging was used to identify and analyze structures in the Cu lines. measurement data performed on Cu samples show both long scan high resolution profiling and AFM imaging detailing dishing and step height changes have been presented.tsm design rule was measured (Figure 6). under the same conditions. This indicates that erosion depends strongly on the Cu line density rather than Cu line width.5mm in length) and leveled profile of the leftmost test pattern (with trench width 0. lower areas are dielectric. 2Mum lines at two Cu different post-CMP stages. In open loop mode.y scan and perpendicularity of < 0. Figure 5 is a 4. accuracy. This suggests that the additional post-CMP 2 process step improves the local flatness. Figure 4 shows that there is no clear trend of erosion increasing with trench width for this sample. Further examples of the high resolution measurement capability of the AFP are given in Figure 6a. and 6c. In this paper.51am and space at 0.y plane. linearity.5 A RMS. Additionally a second Cu sample with a 0.m over the entire wafer. Zoomed 5. Diagram showing the profiling stage drive) and Metrology AFM head on the AFP Z-range is 200nm.. 50 ---' . - .. (profile Figure 1. Graph of dishing versus trench width for measured Cu sample with a line/space ratio of 1:1 (A) and 1:2 (B) 240 Electrochemical Society Proceedings Volume 99-9 . is_ _______ - ______ -Ipb I° I 22mm Figure 2. Z range is 50nm.. Z-range is 1.. Zoomed profile (25pim) of Figure 3a showing the transition between the upper dielectric and lower Cu-filled trench patterns.5mm-long scan of location indicated by the box in Figure 2..5mm-long profiler scan across a die showing four test patterns.densor ui0~CMelrology Opts AFM head chuck eand lede? sample profile reference profile dive positioning Figure 3a.* ---a -B - - -- 0 0 05 1 15 2 25 O Erosion (A) U E~roson (B)F Figure 4. 21..0im.- 50 S40 j30 20 10 . Figure 3b.. 3 3. Left image is post-CMP. 0 Data type 2 range Height 40.00 Figure 6c.00 -1.00 '0 1.00 4. Note the scratches on the image.00 ON Figure 6a.60 2. Measurement of same site for post-CMP 2 Cu line with reduced step height to approx.00 2.00 3. Polishing defects also appear in the image highlighting the ability of the AFP to image such defects.25pm lines with corresponding cross-section shown in Figures 6b and 6c.-4.00 Length Ijim3 3.00 -2.00 Figure 6b. AFM top view image 0. Cross-section of post-CMP I Cu wafer with line height of approx.00 0 3.00 nm 4.60 Length [E. Electrochemical Society Proceedings Volume 99-9 241 . TO 1.00 2. right image is post CMP 2.00 0lN 0 Data type Z range Height 20.00 nm 4.00 Figure 5. 4nm. 10Onm a 0 1. A 4pm x 4pm AFM image of the recessed Cu patterns in Figure lb showing details of individual Cu lines. Na 2Sx.ANODIC PROPERTIES AND SULFIDATION OF GaAs (100) AND InP (100) SEMICONDUCTORS R. F.' Chemical treatments in sulfide. Elbahnasawy and J.and p-type GaAs (100) and InP (100) surfaces have been studied in H20 2. solutions were investigated using X-ray photoelectron spectroscopy (XPS). secondary ion mass spectroscopy (SIMS) and atomic force microscopy (AFM). 4' 5 The Metal-Insulator-Semiconductor (MIS) structures 6 fabricated on (NH4) 2Sx-treated GaAs have shown very low interface state density. 242 Electrochemical Society Proceedings Volume 99-9 . The sulfide overlayer has proven to be durable against ambient oxidation for at least four months. have achieved an increase of 70% in the catastrophic optical damage level of A1GaInP visible laser diodes by sulfur treatment. which seems promising for semiconductor device applications. Auger electron spectroscopy (AES). Auger electron spectroscopy (AES). atomic force microscopy (AFM) and secondary ion mass spectroscopy (SIMS) have been used for surface characterization. P2S 5 and (NH 4 )2 S. Ireland ABSTRACT The anodic properties of n. Buried heterostructure (BH) laser treatments in (NH4 )2 Sx solution have shown a three times lower threshold compared to BH lasers without treatment. 7 Finally. G. acidic and basic solutions have been utilized to improve these properties in the recent years. National University of Ireland. 2'3 For example. The anodic properties and sulfidation of GaAs and InP (100) in (NH 4)2S. McInerney Department of Physics. University College Cork. The technique investigated the anodic sulfidation conditions suitable for n. chemical treatment of the AIGaAs/GaAs heterojunction bipolar transistor in Na2 S and (NH4)2S. and (NH 4)2S.type GaAs (100) and InP (100) surfaces in (NH 4)2Sx solution. solutions. solutions has improved the ideality factor and produced higher current gain. The study provided data that would help understanding the roles of the hydroxyl group and sulfur species during the sulfidation processes of both GaAs and InP surfaces. INTRODUCTION The increasing importance of GaAs and InP semiconductors in the fabrication of electronic and optoelectronic devices and the need for understanding their surface properties provided the major inspiration for this type of research. 8 In this study. X-ray photoelectron spectroscopy (XPS). The passivation produced chemically stable surfaces with good surface quality and thickness possibly controlled. the anodic processes were investigated in order to produce chemically stable passivation and develop a method to control surface quality.and p. Na 2S. NH 4 OH. Kamiyama et al. 5x10s 8 cm"3 GaAs (100) and InP (100) single crystal wafers with thickness 350 . The depth profiling was performed using Ar' bombardment at a milling rate of 2 nm/min. Electrical contact was made by connecting the isolated rear of the wafer to the anode of a potentiostat using silver paint and wiring through a glass tube (Figure 1).' 0 GaAs---Ga 3+ + AS 3+ + 6e GaAs+ 10OH +6 h+---GaO3 3 +AsO2 GaAs + 5 S2. Secondary ion mass spectroscopy was also performed on a SIMS analyzer (Cameca IMS-3f) with primary ion beam 50nA (14. Atomic force microscopy was recorded in contact mode.). solution with the GaAs substrate during anodic sulfidation. Ra. Reducing molarity increases OH' concentration in the sulfide solution that could erode the GaAs surface during anodic polarization. aqueous (NH 4 )2S solution should have high molarity and should be sulfur saturated during the reverse anodic scan (starts at high anodic potential) to achieve Electrochemical Society Proceedings Volume 99-9 243 .6.550 jim.5 keV) and a heavy bombardment of cesium ions. 2 and 3 show the reaction mechanism between aqueous (NH4) 2S. X-ray photoelectron spectroscopy scans were performed using a VG-Microtech x-ray source (Al K.+ 6 hGaS 3 3. The exposed surface area of the samples was 1.2 cm 2. yielding the average deviation of the average height. The wafers were cut into 9x9 mm2 and held using a vacuum pump for anodic treatment. dissolution and surface quality. which functioned as the anode (working electrode). The potentiostat was a German-made (Bank Electronik) Potentio-Galvano-Scan 25V/2A Wenking PGS95 with PC-control and SPK-RP software.6 . Electrodes were then aligned in a rectangularshaped glass vessel for electrolysis in basically 3M (NH 4)2Sý (x=5g S/100ml) solution. The electrochemical cell consisted of the sample. AgCIreference electrode and platinum standard gauze basket as a counter electrode. Equations 1.and p-type 0. The anodic treatment in H20 2 and NH 4OH solutions (Figure 2 and Figure 3) did not show any surface dissolution or damage to surface quality at both low and high molarities. samples were degreased ultrasonically in both acetone and methanol (1 minute each) followed by a DI water rinse.EXPERIMENTAL ARRANGEMENT The samples used were n. The number of moles of electrons flowing through the external circuit per mole of semiconductor dissolved was 6 for GaAs. the achievement of durable passivation with good surface quality depended on molarity. sulfur ion concentration and the position at the I-V sulfur characteristic peak. Before anodization. RESULTS AND DISCUSSION Anodic Propertles and Passivation of n-tvye GaAs (100) lxl0' cm"3 This study demonstrated the effect of pH concentration on the behaviour of n-type GaAs passivation.+ ASS3 +5H 20 (1) (2) (3) Experimentally. In anodic treatment in (NH 4)2S solution. Region I) left the surface severely eroded and damaged. the anodic treatment of n-type GaAs did not exceed the conventional dipping treatment.300 nm. As. this looks promising for optoelectronic device applications. C and 0 for an approximate overlayer depth 200 . There were two factors found to be directly responsible for GaAs surface roughening. imposed by the high anodic potential displaced atoms from their lattice positions in order to increase their coordination with the surrounding sulfur species. S. However. S. in the case of arsenic. AES and SIMS have investigated the deposited layer. 12 and 14 mA/cm2 as shown in Figure 9. Surface characterizations including XPS. XPS depth profiling revealed the atomic concentration of gallium. No evidence of sulfidation has been recorded after DI water rinsing and blow dry in nitrogen. it should be noted that anodic sulfidation in sulfur-saturated sodium sulfide solution (Figure 6. probably due to the high 244 Electrochemical Society Proceedings Volume 99-9 . Neither arsenic nor phosphorous were detected. Both carbon and oxygen were also detected. which is usually a function of current density and/or depositing time. 8. XPS analysis has shown that: (i) Although the anodic potential was high in the reverse scan for sulfur saturated (NH 4)2S solution (Figure 4. the anodic passivation takes place in characteristic steps at which the thickness of the sulfide overlayer can be controlled by either time or current density. Observation of GaAs surface quality after passivation was therefore the main task of this work. Atomic force microscopy displayed the surface morphology for low. probably because of the formation of mounds which grow and coarsen with increasing thickness. The chemical stability and electronic properties of the GaAs surface were also examined after passivation. (ii) At the sulfur characteristic peaks (Figure 4. SIMS depth profiling (Figure 7) detected continued presence of Ga. 2. Region II). Increasing molarity accommodates more sulfur ions in the solution and saturating the solution with sulfur shifts the anodic reaction from surface oxidation and dissolution (equation 2) to depositing sulfide (equation 3). At higher current densities (12 and 14 mA/cm 2. 44. The GaAs anodic sulfidation displayed high chemical stability against oxidation for at least four months and an hour exposure to the Ar÷ laser (512 nm) at power density 5 mW/tm 2. AES surface analysis (Figure 8) for n-type GaAs treatment in P2S 5 saturated (NH4 )2 S solution (Figure 5) revealed Ga. anodic sulfidation place-exchange processes" in which the driving force. The assessed surface roughness was 21. being the thickness of the deposited overlayer. intermediate and high anodic current densities. The first.chemically stable anodic sulfidation.8 V) surface morphology get rough (Figures 9(c) and 9(d)). Region I and Figure 5. C and 0. arsenic and sulfur. The strong carbon and oxygen signals are probably due to the high sensitivity of SIMS to light elements. In the anodic sulfidation processes. These processes were conditioned by turning the n-type GaAs effectively to p-type like (reverse anodic scan) in order to create a strong anodic interaction between GaAs substrate and sulfur ions in solution until the sulfide deposition took place at the interface. 63 and 100 nm corresponding to current densities of 5. Region I). The second. The characteristic peaks were mainly dependent on the sulfur-ion concentration of the solution and were positioned according to the anodic cell parameters and substrates doping concentration. Region II) and for P2S5 saturated (NH 4)2S solution (Figure 5. Long potential span is needed for low doping p-type GaAs. 0. an anodic procedure has been performed for n-type InP (100).+ 4 H20 InP + 7 S2. The anodic scan was 5 mV/s forward (0. acidic and sulfide solutions have also been performed.7 to 0. Anodic Propertles and Passivation of n-tvne InP (100) 3x10 8 em"3 With particular attention to excess interface electrons. InP)-In3 ý + p 3+ + 6e InP+90H. 12. This procedure left the surface well passivated and topographically homogeneous. Equations 4. solution (x=5g S/100 ml) gave the Dolphin-shaped graph (Figure 10). Ga-S. No specific characteristics have been observed and a stable overlayer of indium sulfide has been formed. The XPS results suggest that the anodically grown layer consists of a mixed chemical phase region including Ga-As. C and S atomic concentrations have also been detected by AES. The passivated layer assumed to be gallium and arsenic sulfide. As. The potential span (Figure 10) was found to vary as the doping concentration changed. The characterizations were found to be consistent with n-type GaAs results under the same experimental arrangements.0 to 0.solubility of its compounds in the (NH 4 )2S solution and also in DI water during rinsing. The anodic conditions for p-type GaAs (100) passivation in aqueous (NH4 )2 S. These presumptions were proved experimentally by passivating p-type GaAs (100).+6h+ ) InO2 + HP0 3 2. while defective carbon and Ga/As oxides cannot be ruled out.+ 6 h÷ InS 3 3.14 Anodic Propertles and Passivation of p-tvoe GaAs (100) 6x1018 cm 3 Because p-type GaAs (100) is a hole-rich material. Ga. Similar anodic characterizations in alkaline. biasing the surface with high anodic potential is not necessary and the reverse anodic scan could be excluded. In addition.+ PS 5 5 (4) (5) (6) Auger electron analysis (Figure 12) showed strong peaks for atomic concentrations of S and In. As-S and possibly As/Ga-O bonds. Also no phosphorous has been detected by XPS and SIMS for the same procedure. Equation 5 is responsible for the anodic dissolution of InP in (NH 4 )2S solution when the hydroxyl group is dominating the solution. C and 0 for an estimated depth of 250 nm. The anodic voltammogram was similar to Figure 15.0 V). Weak C and 0 signals have also been detected. S. The passivated layer was shown to be chemically stable in ambient air for four months. SIMS depth Electrochemical Society Proceedings Volume 99-9 245 . 5 and 6 show the reaction mechanism of aqueous (NH4 )2S solution with the InP substrate during anodic sulfidation.7 V) and 2 mV/s reverse (0. Equation 6 is the one responsible for sulfidation and depositing sulfides. AFM has assessed the surface roughness to be 31 nm. The SIMS depth profiling (Figure 11) revealed the presence of Ga. C and 0. to satisfy durability and surface quality. The oxygen and carbon spectra remained strong throughout the grown layer with a marked quantity at the InP substrate. The anodic behaviour (I-V plot) was consistent with previously reported work with respect to the doping concentration. In. reducing the molarity of (NH 4 )2S solution yielded better anodic passivation for n-type InP (100). As mentioned in section (a). with deposited overlayer in the region of 50 nm.and p-type GaAs (100) and InP (100) surfaces. 9 The quality of the passivated surface was quite good with assessed surface roughness 18 nm.e. the thickness of the deposited layer is very important for the formation of mounds that grow and coarsen with the result of high surface roughness. Similar anodic studies in IM H20 2 and NH 4OH solutions were found consistent with the characteristic results of GaAs (100). the deposition increased exponentially and was difficult to control. P. the p-type InP (100) was found to be the best at anodic treatments. The approximate depth was 150 nm. The sulfur-ion concentration in the (NH4) 2S solution played a crucial role in achieving the correct passivation formula i.9 Strong S and In peaks as well as weak C and 0 have been detected by AES. At higher anodic potentials. the thick passivated overlayer assumed to be indium sulfide. The phosphorous profile was insignificant.and p-type InP (100) and GaAs (100) substrates. It does not seem to diminish rapidly before the interface has been reached and diffused beyond the interface. This probably reflects the better stoichiometry of InP as well as the chemical stability of the surface after passivation. Insignificant phosphorous has also been identified with continued growth near to the interface.and p-type GaAs and n-type InP surface treatments in (NH 4 )2S solution. particularly with respect 246 Electrochemical Society Proceedings Volume 99-9 . It was also found that unlike GaAs (100). while the sulfur spectrum remained strong and steady as the layer was removed by Cs' bombardment. No roughness or surface erosion being observed. probably belonging to the stable indium sulfide. The surface may be rough as shown in Figure 14 (1372 nm) but the deposited sulfide thickness can be controlled by reducing either the passivation time or the anodic current density. an increased part of phosphorous sulfide and indium phosphorous could probably be formed. As the layer approaches the interface. C and 0. 8 Anodle Properties and Passivatlon of P-tyPe InP (100) 4x101 Cm" 3 With regard to n. CONCLUSIONS The anodic sulfidation has been shown to produce chemically stable passivation with good surface quality for n. Following the experimental data mentioned above. The sulfide phase would be attributed to the anodic decomposition reaction of the InP substrate in equation 6. S. The SIMS depth profiling (Figure 16) showed continued evidence of In.9 At the plateau (Figure 15. The thick sulfide layer was found durable against moist ambient air for four months. The reaction was simple as in equation 6 and easy to control. this is the lowest value among n. followed by dissolution of phosphate ions in (NH 4)2S solution and precipitation of an insoluble In 2S 3 film at the surface. 1280-1440 mV) the indium sulfide deposition was mild and stable with favorable surface quality.profiling (Figure 13) showed continued evidence of S. 499 (1991). J. Bardwell. Ershov. L14 (1995). the anodic treatment of GaAs and InP in H20 2 and NH 4OH solutions has proven to be non-erosive in comparison with the aqueous sulfide solutions. Gerischer. M. s S. J. C. Graham and P. Yu. Tamanuki. Apple. Lett. F. 52(12). Lett. V. Inc. Phys. The study has also confirmed that there is no necessity to saturate the sulfide solutions with sulfur for InP (100) anodic passivation. Koyama. Z. M. "1 Yablonovitch. B7(4). The study should provide reference data for the best anodic passivation conditions for the most important III-V semiconductor compounds. ST. 9-10 (1990). ACKNOWLEDGMENTS The authors would like to thank Greg Hughes and Tony Deeney for helpful discussion and Enterprise Ireland for financial support. H. Lee. Y. Sandroff. Phys. A. Li.M. Y. Appl. Ohnaka. S. C. Phys. Lett. Lett. Humphrey. H. 6 Z. X. P.L. Shikata. 3425 (1994). 9 L. Huang. Phys. R. 67(4). Gao. M. J. R. Geib. Z. Okada and H. H. A. H. Ding and X. 4 R. 8 S. G. Woodall. 10 H. Norton. S. S. Tobias. Dardas. Phys. Appl. 724 (1989). Z. Dong. Korablev and V. Appl. 13 S. Wang. Z-H.D. M. REFERENCES C. D. VCH Publishers. Much work has still to be done in this field in order to investigate the reaction mechanisms occurring during the anodization process in-situ. Wilmsen. S. Sandroff. Appl. Dipping GaAs (100) in (NH 4)2S solution could initiate two simultaneous reaction mechanisms. J. Appl. W. 142(1). N. 54(4). J. Appl. Melloch. V. Turning the n-type material to a p-type like surface is another factor that seems vital to achieve anodic passivation for n-type GaAs (100). Ding. The second reaction is strong enough to dissolve the sulfide if the anodic potential is low and the sulfide solution is not saturated with sulfur. J. Lett. 1863 (1990). J. Delgass. Phys. M. Appl. X. T. 1429 (1996). Cai. Bhat. Chang.M. C. 64(25). eventhough increasing sulfur concentration still improves the reaction performance. Lett. Mori. Gmitter. Appl. Technol. Lett. and T. Phys. Electrochemical Society Proceedings Volume 99-9 247 . 54(8). Baker and J. Lee. 69(10). Chen. 69(4). W. Su. Kamiyama. M. 3 B. 60(22). J. Nottenburg. Phys. Y. Sci. 22(7).C. Tech. A. 2 H. Lu. Hegde and C. Tyukin. J. and R. Ivankov. Li. Soc.Z. 52(3). R. Wang. 14 X. Takahashi and K. the reaction of the substrate with the sulfur ions to form sulfides and the reaction of the sulfide with H20. H. 841 (1989). Z. R. In this study. N. Advances in electrochemical science and engineering. 365 (1989). Phys. M.to n-type GaAs (100). K. X. X. Lett.. Hollenbeck. D. A. 218 (1988). 2595 (1992). Hou. Phys. F. Liliental-Weber. Kirchner. 12 E. W. G. K. 1002 (1988). Electrochem. Japanese Journal of Applied Physics. Cox. Cowans. W. Hayashi. J. 30(3). Racicot and S. Hou and X. Carpenter and M. Iga. 561 (1996). J. 2717 (1991). Vac. 30 pH. sweep rate 20 mV/s and 3. 14 i10.10 Electrolyte Figure 1: Experimental arrangement for anodic processes of GaAs and InP surfaces. 1 4' S2 -10000 non0 -o00 -40M0 -2000 0 2000 E ImVl 4000 60 8000 10000 Figure 2: Potential sweep of n-type GaAs (100) lxlIO' cm 3 in IM H20 2 solution.Working Electrode AgClReference Electrode Counter Electrode CE REU WE POTENTIOSTAT SVACi ring . 248 Electrochemical Society Proceedings Votlume 99-9 . Electrochemical Society Proceedings Volume 99-9 249 . (x=5g S/100 ml) solution.15 pH.70 pH. R0g[ o I --o--------------------Region U-------------- 10 0 1000 2000 3000 4000 6000 6000 7000 8000 9000 E (mV) Figure 4: Potential sweep of n-type GaAs (100) lxlOl8 cm 3 in 3M (NH4) 2S. sweep rate 20 mV/s and 12.35- ~30 25 20 *1 10 -10000 -0000 -6000 -4000 -2000 0 20o0 4000 6000 80o0 10000 E [mVI Figure 3: Potential sweep of n-type GaAs (100) lxlIO 8 cm 3 in IM NH4 OH solution. sweep rate 20 mV/s and 10. 05 pH. 000 10000 E [mV] Figure 5: Potential sweep of n-type GaAs (100) lxlO' 8 cnY3 in 3M 20g P 2S5/100 ml (NH4) 2S solution.5M Na 2S. sweep rate 2 mV/s and 10. (x=5g S/100 250 Electrochemical Society Proceedings Volume 99-9 . 40 20 -2000 0 0 2000 4000 E [mY] 18 6000 9000 10000 Figure 6: Potential sweep of n-type GaAs (100) 1x0 ml) solution.> 10 1 j6 0 -2000 0 2000 4000 6000 . ----h--Region I -- Region fl---- 80. sweep rate 2 mV/s and 14.1 --------------.26 120 ''16 Region ----------. 3 cm" in 0. 160.60 pH. 120ý 100. IxI0 8 cm 1 3 treated anodically in 20g Electrochemical Society Proceedings Volume 99-9 251 . E.4- [Depth urnl 18 Figure 7: SIMS depth profiling of n-type GaAs (100) (NH4) 2Sý (x=5g S/100 ml) solution.I C/sl 10 "1 " 0.3 0.1 0-2 0. (eV) Figure 8: AES spectrum of n-type GaAs (100) P 2S 5/100 ml (NH 4) 2S solution. IxI0 cm 3 treated anodically in 20000 S C 0 10000 III 0 Ga z 1u -10000 -20000 -30000 200 400 600 800 1000 1200 14 0 K. 3 V).) S~5111m pn In 0 €) 10PM 0p 0 jim (lb) 10p 0pmo O (dl) 25j~ 4r Figure 9: AEM of anodically passivated n-type (Si) GaAs (100) lxl0'8 cm-3 as shown in Figure 4 (Region I). (a) Surface roughness (Ra) 21 nm (5 mA/cm 2 . (b) 44 nm (8 mA/cm 2. o 00 20o 0 3un 400 Poo W05o 70 E JmV] 8 Figure 10: Cyclic voltammogram of p-type GaAs (100) 6x10 1cm-3 in 3M (NHa 2Sx (x=5g S/100 ml) solution. 2.Eo 1 ý1o (.35 pH. 3 V). 252 Electrochemical Society Proceedings Volume 99-9 . sweep rate 5 mV/s forward and 2 mV/s reverse and 10. (c) 63 nm (12 mA/cm2. 2.8 V) and (d) 100 nm (14 mA/cm2 .8 V). (x=5g S/100 ml) solution.4- [ Depth ur] Figure 11: SIMS depth profiling of p-type GaAs (100) 6x10 18 cm"3 treated anodically in (NH4) 2Sx (x=5g S/100 ml) solution.(eV) Figure 12: AES spectrum of n-type InP (100) 3x10 1 8 cm"3 treated anodically in (NH4) 2S. E.[ C/sJ 5s7 8-33 10 10C 0. S 200000 In 100000 C V 0 -100000 ' -200000 -300000 0 200 400 600 800 1000 1200 14 0 K.3 0.1 0.2 0. Electrochemical Society Proceedings Volume 99-9 253 . Ol0 (a) 501a Oln0 P (b) 1l Pm Figure 14: AFM of anodic passivated InP (100) in (NH.pth urn] 3 Figure 13: SIMS depth profiling of n-type InP (100) 3x10'8 cm: treated anodically in (NH 4 )2 Sx (x=5g S/100 ml) solution.-)2S. 254 Electrochemical Society Proceedings Volmne 99-9 .[ C'/si 1 C> 4-1 4Z- 3L-4S I0 C> 1~ X~ [ I). (b) p-type 4x10 18 cm 3 with approximate surface roughness 18 nm. (x=5g S/100 ml) solution. (a) N-type 3x10' 8 cm 3 with approximate surface roughness 1372 nm. 35 pH.~12 10 0 0 200 400 60 8090 E [mV] INO 1200 1400 1600 Figure 15: Potential sweep of p-type InP (100) 4x10' cm 3 in 3M (NH4) 2S. (x=5g S/100 ml) solution. sweep rate 5 mV/s and 10. (x=5g S/100 ml) solution. [ C/s] "10 S0 i i S~S-32 • I * 10" 3 10 "In11s 10 ( I)epth urn] Figure 16: SIMS depth profiling of p-type InP (100) 4x1018 cm 3 treated anodically in (NH4) 2S. Electrochemical Society Proceedings Volume 99-9 255 . Titanium (Ti) is known to be an effective adhesin/diffusion barrier for Al metallization. the polishing with the addition of 6 vol% H2 0 2 at pH 4 would mitigate the Al dishing. the complicated metal removal mechanism in CMP process is not yet clear presently. Han-C Shihb Ming-Shiann Feng'. Al alloys. Regardless of those hindrances. Ming-Shih Tsai' and Bau-Tong Dai' aDepartment of Materials Science and Engineering. In addition. The total process time for Al CMP is also controlled by the removal rate of the diffusion barrier used. R. As regards the AI/Ti removal selectivity. To this end. Hinchu 300. C. Taiwan. passive corrosion during the overpolish time may lead to undesirable plug corrosion and recess.' 256 Electrochemical Society Proceedings Volume 99-9 .' Accordingly. I-Chung Tung'. Taiwan. citric acid and hydrogen peroxide. since the polishing and dissolution of Al could be suppressed while those of Ti could be enhanced. Moreover. The maximum corrosion potential drop between the abraded and nonabraded Al electrodes corresponded to the possible maximum polishing rate of Al. R. INTRODUCTION Chemical mechanical polishing (CMP) has been accepted as an emerging key technology to achieve global planarization for interlevel dielectrics (ILDs) and damascene process in the deep submicro multilevel interconnect fabrication. Furthermore. CMP of patterned aluminum (Al) lines for a damascene process gives the better electromigration lifetime. Besides. R. bDepartment of Materials Science and Engineering. the addition of H20 2 enhanced Al dissolution. The slurries used contained A120 3 abrasive. 0. It was found that Al dissolution could be suppressed in the slurry with the addition of 6 vol% H2 0 2 at pH 4. without a proper Al/Ti polishing selectivity. phosphoric acid. Jyh-Wei HSUb. The novel equipment for in-situ galvanic measurements was designed for evaluating the Al/Ti galvanic couple. electrochemical behaviors of metal in the given slurry environment during polishing should be 3 explored . are still being extensively investigated because of their admirable applicability in damascene process. higher degree of planarity. Taiwan. Due to the soft nature of Al alloys compared to tungsten or copper. Therefore. there are still other sufficient motivations to develop a reliable Al CMP process. National Chiao Tung University Hsinchu 300. 0. Compared with conventional reactive ion etching (RIE) etch back. 0. which have been used as interconnects for more than 30 years. National Tsing Hua University Hsinchu 300. based on the considerations of the more simplified process and lower cost for IC manufacturing compared to Cu CMP. C. the polishing selectivity between Al and Ti is critical in determing the yield and throughput of Al CMP.A STUDY ON ELECTROCHEMICAL METROLOGIES FOR EVALUATING THE REMOVAL SELECTIVITY OF Al CMP Shao-Yu Chiu'. C. conventional analytical techniques in corrosion study can be modified as the basis for evaluation of appropriate slurry formulation for a successful Al CMP. The results showed that the addition of H20 2 could help to form an effective passivating layer on the Al surface. 'National Nano Device Laboratories. Al CMP suffers the choice of 2 a suitable pad to achieve good structural planarity and minimum surface scratch density"' . ABSTRACT The in-situ electrochemical measurements were performed for the Al and Ti disks in the various slurries under the polishing or static condition. to obtain a better understanding and controlling on Al CMP. and less number of processing steps for interconnection. citric acid and hydrogen peroxide in an acidic aqueous solution. EXPERIMENTAL The slurry under investigation was formulated with a -A12 0I abrasive (0. there is a very little difference in the corrosion potential and current density between the abraded and non-abraded electrodes. and the phosphoric acid then etches the oxide while the slurry abrasive mechanically abrades the metal surface.' It could be capable of performing the in-situ polishing or static process for the galvanic corrosion measurements. the investigations were concentrated on the polishing of the Al alloys and the adhesion/barrier metal (Ti) in the slurries containing A120 3 abrasive. indicating that a passivating layer may form due to the addition of H 20 2. If that value were set at zero. 2. in the absence of H 20 2 .' This indicates that the mechanical abrasion nearly makes no contribution to the corrosion rate. The conductor electrode was platinum (Pt). the potentiostat would control potential between two working electrodes at any specified value. Phosphoric acid and citric acid were used as pH buffer solutions. In order to trace the electrochemical behavior with abrasion or without abrasion. Therefore. including the potentiodynamic polarization and galvanic corrosion measurements.S. During polishing. By contrast. RESULTS AND DISCUSSION The polishing mechanism for a phosphoric acid and hydrogen peroxide-based AI-CMP slurry was proposed in a U. the galvanic current flow is a result of the different electrochemical behaviors between the two working electrodes in the slurries. The electrochemical measurements were performed using the bulk Al and/or Ti rotating disk working electrodes on an EG&G potentiostat/galvanostat Model 273. In the absence of H202.05 pm in size).25 V below the open circuit potential to 1. the circuit would continuously and automatically read the galvanic couple current from the potentiostat zero resistance ammeter. according to the Pourbaix diagram of aluminum water system. there appears a significant drop in the corrosion potential and an increase in the current density with abrasion. citric acid and hydrogen peroxide. the corrosion potential is significantly increased after the addition of 3 vol% H20 2. The slurry pH was adjusted with potassium hydroxide (KOH).' From the potentiodynamic scans.r.' The hydrogen peroxide is a weak acid added as an oxidant to the polished metal. phosphoric acid. I(b). This Al dissolution at pH 2 is 3 suggested due primarily to the formation of Al . a down force of 4 psi was applied to the metal surface. The corrosion current density was determined by Tafel extrapolation or calculated by the Butler-Volmer equation.e.l(a).0 V. patent. electrode [+640mV w. the polishing rate would be dominated by Al dissolution. The novel equipment designed for the in-situ electrochemical measurements during polishing is schematically shown in Fig. the working electrode was kept rotating at 300 rpm. The setup used for the potentiodynamic measurements is shown in Fig. since the very Electrochemical Society Proceedings Volume 99-9 257 . The mixed corrosion potential was measured with respect to a standard Hg/HgSO. the Al alloys and adhesion/barrier metals. This setup consists of two working electrodes. The potentiodynamic scans were performed at a rate of I mv/sec from 0. H202 concentrationeffects on Al removal behavior Figure 2 shows the potentiodynamic scans for Al immersed in the slurry in the absence or presence of H20 2 at pH 2. whether without or with abrasion on a Rodel Politex regular E polishing pad. Following the electrochemical theory. indicating that the passivating layer is continuously removed by the mechanical abrasion during the polishing process. have been used to elucidate the electrochemical mechanism of the removal selectivity in Al CMP. the changes in the mixed potential between the non-abraded and abraded electrodes and the current density in both electrodes were extracted. in the presence of H20 2 . phosphoric acid. standard hydrogen 3 electrode (SHE)] as a reference electrode.In this study. As also shown in Fig. in the absence of H20 2. When AUX and REF terminals were shorted. The electrochemical analyses. with the slurry as the electrolyte. In addition.t. i. at the higher concentration of H20 2 (above -3 vol%). in which <E is a lower value (see Fig. 3). ' This corrosion potential drop is anticipated to correspond to the weakening of the passivation effect. 5 shows the galvanic current between the abraded Al and Ti electrodes with time. the current density of the electrode is further increased. in the presence of 3 vol% H202. this would be the best condition to provide Al CMP with the maximum removal rate. In such a case. when the polishing rate of Al is the maximum. Consequently. this fact verifies that 4 at pH the polishing rate of Al can be decreased and slower than that of Ti. the alteration rate of the passivation effect is thus negligible and leads to a lower <E. 2. as also shown in Fig. In particular. the addition of 6 vol% H2O0is chosen. while Al and Ti are electrically connected. This manifests that the presence of H20 2 can enhance Al dissolution. since the thicker passivating layer acts as a better barrier against the acidic solution attacking on Al metal surface. It is thus clear that the change of pH is capable of further mitigating the Al dishing." which may contribute a driving force for greater corrosion of either Al or Ti. the current density of Al is higher than that of Ti. in the presence of polishing action. that is. Fig. the mechanical abrasion becomes less important and the polishing rate is thus dominated by Al dissolution. due to the thick passivating layer. As can be observed in Fig. the removal rate of the passivating layer is higher than its very slow growth rate. the Al dishing can be mitigated. As a result. it is not unreasonable to propose that the adjustment of pH is also possible to further improve the Al dishing. as noted in Fig. Therefore. the passivation effect for At would be significant and the polishing rate of Al is also decreased. pH effects on Al/Ti removal selectivity In the slurry used in this study. As a result. a potential difference usually exists and produces electron flow between them. the structure of the passivating layer should appear loose. with the addition of 3 vol% H20 2 . until the H2 0 2 concentration reaches about -3 vol%. so that the removal amountof the passivating layer would be very small and thus gives a lower <E. For this enhancement to occur.0 2 concentration. at pH 2 and in the absence of H2 0 2. Galvaniccurrent measurement According to the galvanic corrosion theory. This is suggested to be a combined result of two actions. In addition. In other words. As seen in the figure. is higher than that of the non-abraded or abraded electrode in the slurry without the addition of H2 0 2 . In this case. in the presence of 3 vol% H2 0 2. there is a corrosion potential 90 drop (<E) for the abraded electrode compared to the non-abraded electrode.little change in the corrosion potential and current density with abrasion. 3 is shown <E as a function of the concentration of H2 0 2 added. it is preferred that the polishing rate of Al be decreased while the polishing rate of Ti be increased. 4 are shown the potentiodynamic scans for the abraded electrodes in the slurry with the addition of 6 vol% H20 2 at pH 2 or 4. so that the slurry solution is still capable of penetrating through the passivating layer and attacking the Al metal to bring about the corrosion reaction. the removal rate of the passivating layer is slower than its high growth rate. This is very favorable to mitigate the Al dishing when a AI/Ti patterned wafer is polished. whereas the current density of Al becomes lower than that of Ti at pH 4. which would cause <E to approach the maximum value. however this is clearly insufficient to produce a rather efficient passivating layer on the polished Al surface. since the Al dishing would become a severe problem. <E can be seen to increase with the H. this is not a good condition for polishing the Al/Ti patterned wafer. However. It is clear that the current density of At would be altered but that of Ti remains unchanged by the change of pH. both the mechanical abrasion and Al dissolution would make the large contributions to the polishing rate. and then decrease with the H2 0 2 concentration further. By contrast. which may be caused by the decrease in thickness of the passivating layer due to mechanical abrasion. At the lower concentration of H2 0 2 (below -3 vol%). mechanical abrasion becomes important and Al dissolution is suppressed. In Figs. On the same line of reasoning. the polishing rate of Ti metal is slower than that of Al. However. At pH 2. the abraded 258 Electrochemnical Society Proceedings Vohlume 99-9 . 2. since the removal rate of the passivating layer is equal to its growth rate. As shown in the figure. In Fig. In such a case. although oxygen in air may dissolve in the slurry and act as an oxidant. To this end. the current density of the non-abraded electrode in the slurry containing H20. the presence of H20 2 enhances Al dissolution and the mechanical abrasion helps to remove the passivation layer. 2. Patent 5.266. the Al dishing would be mitigated. L. Zeidler. 2nd ed.0 2 is very helpful to form a passivating layer on the Al surface. C. Stell. Janos Farkas. J. C. 142 (1995) pp. 70 78 11. Evans. Gutmann. 4. S.. Microelectron. Electrochemical Society Proceedings Volume 99-9 259 . R. S. Principles and Prevention of Corrosion. 1996. Drescher. Stavreva.Al electrode obtains a positive current. 2. Conversely.. Electrochem. T. P. 7. 816 (1993). 61-64. At the higher concentration of H202 (above -3 vol%). 3041-3049. M. C. Ronald Carpio. Electrochem. 217-228. 23 8 2 44 . which indicates that dissolution of Ti would be enhanced. in the slurry containing 6 vol% H 20 2 at pH 4. L. C. Electrochem. which means that Al dissolution could be enhanced by the Al/Ti galvanic couple. Scherber and M. G. the polishing rate of Al was dominated by Al dissolution. REFERENCE 1. M. 8. citric acid and hydrogen peroxide were performed. 2379-2385. and Rahul Jairath. David R. Duquette and S. CooK. U. (1995) pp. 41 (1995) pp. 117-121. J. It was found that Al dissolution could be suppressed in the slurry with the addition of 6 vol% H2 0 2 at pH 4. Soc. E. The novel equipment for in-situ galvanic measurements was designed for evaluating the A1/Ti galvanic couple. M. MRS Bulletin Nov. 1995. Upper Saddle River.209. A. T. Soc. J. Mathew and S. J. J. Laulusa. CONCLUSION The electrochemical studies on the Al and Ti disks in the slurries containing A120 3 abrasive. Murarka and R. Sethuraman. Semicond Intl. phophoric acid. The results showed that the addition of H. Z. Plotner and K. Denny A. Steigerwald. the Al etching rate could be suppressed. Wang. Soc. Murarka. D. 10. F. J. J. C. Technical support from the Nationl Nano Device Laboratories is also acknowledged. 9. 145 (1998) pp. S. pp. 144 (1997) pp. Kallingal. By increasing the addition of H 20 2 to 6 vol% and the pH value to 4. J. NJ. A. M. J. P. ACKNOWLEDGEMENTS This work was sponsored by the National Science Council of the Republic of China under grant NSC 88-CPC-E-009-015. and R. Duqutte. J. 33 (1997) pp. pp. Fury. Phys. (1995) pp. Duqutte. Thin Solid Film. Jones. Eng. Proc. V. Gutmann. Mater Chem. Kneer. D. the abraded Al electrode obtains a negative current. The maximum corrosion potential drop between the abraded and non-abraded electrodes in the slurry with the addition of 3 vol% H2 0 2 at pH 2 corresponded to the possible maximum polishing rate of Al. Schwartz. Electrochem. 96-22 (1997) pp. M. . Doan and A. Oct. D. P. 2074-2081. 6. Murarka. 177-190. V. D. Yu. D. which is fairly helpful to mitigate the Al dishing for polishing a AL/Ti patterned wafer. P. 5. Raghunath. 3. 2 59 2 6 5 . Kistler and G. A. the polishing rate of Al was primarily controlled by mechanical abrasion. Steigerwald. Prentice Hall Press. A. E. R. J. Raghavan. At the lower concentration of H20 2 (below -3 vol%) at pH 2. at which both mechanical abrasion and dissolution made the large contributions to the polishing rate. since the polishing and etching of Al could be suppressed while those of Ti could be enhanced. Soc. In this particular case. .. Carreer drive motor EG&G 273 POTENTIOSTAT At Pad __ Platen T 0 Slm Polishing platen drive motor Figure 1(b). The novel equipment designed for in-situ galvanic corrosion measurement 260 Electrochemical Society Proceedings Volume 99-9 .. .. EG&G 273 POTENTIOSTAT Carner drive motor Load cell Figure 1(a).E . Schematic drawing of the in-situ potetiodynamic measurement system -... Electrochemical Society Proceedings Volume 99-9 261 . Potentiodynamic scans for abraded and non-abraded Al surface in the slurry in the absence or presence of H20 2 at pH 2. Effect of H20 2 concentration on the electrochemical corrosion potential drop of Al in the slurry at pH 2.0 A.1. x vol% I.O6+buffer acid(pH=2)-aIurnlna abrasive gio 20 0 0 2 4 6 8 10 H20 2 concentration (%) Figure 3.5 1E-7 1E-6 1E-5 Current density (A/crm) 2 1E-4 1E-3 Figure 2. x vol% H0' 44uffer acdd(pH=2)4elIurnna abrasive I : No abrasion (at 300orpm) 05 I1: with abrasion (at 4psi.-1°/.-0.o -10 -1.5YCu Slurry. 140 E•120 AI-1%8I-0.5°Wu Murry. 300rpm) o. 300rpom Slurry (alurnina abrasive) I : 0 voll/J'lO 2.1 6vola/'ltOq.3 0.5 0.0 C -0. 5~cr d 0.3 0.O. 0.0 Al TI -0.1 ýý 0.N. 6 vol% H02+buffer acid(pH=x)+alumina a with abrasion (at 4psi.2 0. 300rpm) S0.I -0.3 ~0.pH 4 I1: -.5 0.2 -0.4 With abrasion at 4psi. respectively. at pH 4. Potentiodynamic scans for abraded Al and Ti surface in the slurry with the addition of 6 vol% H20 2 at pH 2 and 4. respectively. 2 262 Electrochemical Society Proceedings Volume 99-9 .0 Slurry. The galvanic current of abraded AI/Ti couple surface recorded from a potentiostatic ZRA in the slurry containing 0 vol% H20 2 at pH 2 and 6 vol% HO. 0.5%'Cu'Rn 0.1%/SI.0 0.5 ATi -1.2 2 ý~r 0.4 Time (Ks) Figure 5. pH 2 S0.1.0 1E-9 1E-8 1E-7 pHp2 pHH4 pHp2 1E-6 1E-5 1E-4 1E-3 Current density (A/cm2 ) Figure 4.6 . Nevertheless the formation of a quite amorphous deposit was not in favour of an epitaxial growth. Maurin Physique des Liquides et Electrochimie UPR 15 CNRS. Universit6 Pierre et Marie Curie 4 Place Jussieu. The phenomena associated with the formation of a coherent film cannot be detected by this technique. Beside the vacuum based techniques like MBE. TEM observations of CdSe films with increasing thicknesses show when the diffusion control is effective.The aim of this paper is to establish relations between the optimum conditions of epitaxy and the nucleation and growth processes implicated during the formation of CdSe films onto InP and GaAs single crystals. A good epitaxy is achieved by monitoring the experimental parameters. Sugimoto and L. G. Gomez et al (4) proposed models for the electrodeposition of CuInSe2 on glassy carbon electrodes. Peter (5) investigated the CdTe electrodeposition on silicon single crystals. Y. 75252 Paris Cedex 05 ABSTRACT Epitaxial CdSe layers were electrodeposited from aqueous solutions onto InP and GaAs single crystals. Cachet. H. ls after the beginning of the potential pulse. H.E.M. the liquid phase is very attractive because of its low cost. in particular the selenium concentration in the electrolyte and the deposition potential (1)(2). the diffusion control is effective less than 0. Froment. Only few papers report results concerning the kinetics of semiconductor electrodeposition compared to those devoted to metals. INTRODUCTION Semiconducting chalcogenide compounds epitaxially deposited on single crystal semiconductors present many applications in optoelectronics and solar energy conversion.We have recently shown that during the CdSe electrodeposition on InP and GaAs. The analysis of current transients shows that the growth kinetics corresponds to a Scharifker model assuming an instantaneous nucleation followed by a 3D diffusion-limited growth. We will show that it is necessary Electrochemical Society Proceedings Volume 99-9 263 .The growth kinetics during the first steps of electrodeposition is generally studied from the analysis of current transients. a large density of growth steps followed by the formation of epitaxial nuclei which finally coalesce. M. The different phenomena associated with the growth of a coalesced film which need at least some seconds. K. Epitaxial CdSe thin films have been recently electrodeposited from aqueous electrolytes on InP and GaAs semiconductors. The diffusion control is effective after less than 0.NUCLEATION AND GROWTH OF EPITAXIAL CdSe ELECTRODEPOSITED ON InP AND GaAs SINGLE CRYSTALS L.1 s after the beginning of the potential pulse (2). Evidence for a 2D nucleation and growth process was obtained. Beaunier. cannot be detected by this technique. Heusler et al (3) have investigated the CdSe electrodeposition on metallic substrates. was determined during HREM plan-view observations. This latter was removed by an immersion in an alcaline solution of Na2S204. The best fitting is achieved assuming. EXPERIMENTAL CdSe was electrodeposited using a 0. Different 264 Electrochemical Society Proceedings Volume 99-9 .5% Br2-methanol mixture and dipped in sulfuric acid (3M). The experimental current.2 ms. p=2.2 j2cm) were treated in a 1. where i2(t) is the double layer charging current according to equation (2): i2(t) = AVd/Rs*exp(-t/RsCd) [2] where AVd is the amplitude of the potential pulse. before coalescence. The best epitaxial conditions were generally obtained for a 0.2 M CdSO4 acidic solution (t=80°C) with various amounts of selenous acid (0. The film thickness was determined thanks to RBS measurements.3 M Se concentration and a deposition potential Vd corresponding to the beginning of the diffusion current plateau (.The epitaxial growth of CdSe was estimated during RHEED observations. according to the Scharifker model (6).5xiO.to associate TEM observations to the kinetical data. In fact the fitting has been achieved with the total current i(t) = il(t) + i2(t). after removal of the double layer current i2.1).0. followed by the diffusion controlled growth (6).2% Br2-methanol mixture and dipped in hydrochloric acid (3M). obtained after the current transient extended over 25 seconds. is presented in the inset (Figure la).95V/SSE).3 M). in order to remove the surface oxide layer. Thin films were realized by ion milling of the back side of the CdSe electrodeposit /single crystal samples. a three dimensionnal nucleation on a finite number NO of active sites. C the concentration of the diffusive species (selenous acid). RESULTS AND DISCUSSION Kinetics of nucleation and growth.3 M<CSe<3x10. CdSe was electrodeposited on (ii. The structure of the CdSe electrodeposits prepared during the first steps of growth.and A the nucleation rate paer active site Figure 1 is an example showing the experimental transient (Figure I a) obtained during the CdSe electrodeposition onto a (100) InP surface and the best fitting using the Scharifker model. The potential step was applied by a computer-controlled potentiostat (Ecochemie-Autolab).9 to -0. The nucleation and growth kinetics was studied from the analysis of current transients resulting from a potential step starting at a potential where the current is practically zero. Measuring points were recorded every 0. p=lxl0.5x10. Rs the series resistance of the electrochemical cell and Cd the double layer capacity. Figure lb is a RHEED pattern of the CdSe epitaxial layer. Experimental current transients have been first obtained for the optimal conditions of CdSe epitaxy onto InP and GaAs. GaAs single crystals (n type. in order to follow the evolution of the film structure during its formation.5x10-3Qcm) were treated in a 0. according to the equation (1): 1 it(t)=zFD 1 / 2C/11/2t l/ 2 [1-exp{NoInkD/A(At-(1-exp-At))}] [1] where D is the diffusion coefficient. (100) InP faces and (I11) GaAs face. InP single crystals (n type. This treatment removes the oxide layer but produces an excess of As on the surface. If we suppose that the (220) CdSe planes (dl = 0. NO = 0. The parameters which have been deduced from the fitting are the following: D = 7.9x10.2 . Such a hypothesis is supported by an increase of NO even if the epitaxial growth is weakly disturbed.2 . 2b) corresponding to the electrodeposition of CdSe on (i11) GaAs. i (t) = zFD 1/2nt-1/2t-l1/2 +i lim [3] Figure 3 shows a relatively satisfactory fitting using this equation (Cot).2 .215 nm) are indexed as (220) CdSe. that the film coalescence is not achieved. the image contrast is particularly weak. Stuctural observations are requisite to describe the phenomena associated with the formation of a continuous film. some of them present geometrical shapes.6x10 6 cm. Cd = 74 gFcm.1 gFcm.215 nm) are superimposed to the (220) InP (d2= 0. the density 1 of nuclei is around 8xlO lcm. The experimental current transient (Exp) and the best simulation with the Scharifker model (Sch) are given Figure 3a. The lattice planes (0. The polycrystaline growth is demonstrated thanks to the RHEED pattern (Figure 3b). Figure 5 shows the (220) CdSe lattice planes.5s after the current has been stepped and the mean thickness is around Inm. Such a situation is found in Figure 3 where Vd is stepped at the end of the current plateau (Vd = -1V/SSE). As soon as the experimental conditions depart from the optimum. by a variation of the selenium concentration or that of the cathodic potential. The transient current could be now fitted by the Cottrell equation (3). overlap. NO = 3. edges of steps and triangular nuclei.2 . 2a) and the RHEED pattern (Fig. The moir6 patterns are produced when two crystals which have a difference in their lattice parameters and/or their orientation.5 cm 2 s. TEM observations of the film growth Epitaxial films.parameters are deduced from the fitting: D = 2. Figure 7 is an HREM image where lattices planes and moird patterns are superimposed.36x10 6 cm" 2 . Rs = 111 92. Figure 4 shows TEM observations of epitaxial thin layers electrodeposited on (iii) InP (Figure 4a) and (ill) GaAs (Figure 4b). Figure 2 gives the experimental current transient with the best fitting (Fig. It can be supposed that a polycrystalline growth is accompanied by a strong increase of NO (1010 cm. thanks to AFM observations. the CdSe epitaxy is disturbed and replaced by a polycristalline growth. Nevertheless one observes on these images the formation of new nuclei on the terraces. As the CdSe layer is very thin. The electrodeposition process has been stopped 0. Simultaneously the experimental current transients are no longer fitted using the Scharifker model.and confirm the coalescence of the first nuclei. HREM observations have been also performed.2 ).1 .207 nm) the moir6 fringes have a spacing Dth given by the equation [4]: [4] Dth = d Id2/(d I-d2) Electrochemical Society Proceedings Volume 99-9 265 .6x10-5 cm"2. The kinetical results allow to conclude that the overlap of the diffusion zones is achieved. At this moment it has been shown (2). Rs = 90 Q.Cd= 17. TEM images reveal the existence of growth steps parallel to [011] directions. When the CdSe layer thickness is increased (2nm) the growth steps disappear because of the coalescence phenomena but the nuclei formed on terraces are now easely observed thanks to moir6 pattern phenomena between CdSe and the substrate (Figure 6a). Whatever the mode of growth (epitaxial or polycrystalline) the current diffusion control is effective a fraction of second after the potential has been stepped. Figure 6b is a low magnification TEM image of an epitaxial layer electrodeposited on (111) InP.When the mean thickness of the layer reaches 4 nm nuclei are ready to coalesce. 86 nm. TEM observations of very thin electrodeposits confirm that the coalescence of the first nuclei is achieved.During the first moments of electrolysis TEM observations of plan views show that growth steps are missing.E. H. the structure of the CdSe films is completely modified. The relation between these angles are the following: Dm = DthcosD [5] 0 = cost/L with L = dl/(dl-d2) [6] In the example given in Figure 7 Dm is equal to 0. 1997 2. value which is in agreement with the experimental one (83 0).1 s after the beginning of the pulse. H. Figure 8 is relative to a CdSe film prepared at a potential of -1.1 V/SSE. From the equations [5] and [6] it can be verified that calculated (Dis 82. Non epitaxial films. This angle ((D) comes from a rotation 0 between the two families of planes. 100. When the experimental conditions of the CdSe electrodeposition depart from the optimum. This result is in agreement with the values found for the enlargement of the XRD patterns obtained with CdSe films epitaxied on InP or GaAs: the values of the full width at half maximum are generally found below 40 (2). ext. The HREM image is a projection of atomic columns in a [0il] direction.90. In the optimal conditions of epitaxy. on the other hand 0 equals 2. the (220) planes. Nuclei observed in this figure do not present. Immeiatly after new epitaxial nuclei appear which coalesce when the the thickness of the deposit reaches 4 nim. Froment. In fact equation [4] is valid for parallel moir6s.5 nm). as for the epitaxial one. 1. A large proportion of the nuclei do not present any relation of orientation with the substrate. normal to the (111) substrate.Electrochemical Society Meeting.K. G. Maurin. Paris.5nm < Di< 2.70. G. Abstract No 1150 266 Electrochemical Society Proceedings Volume 99-9 . J. Froment. R.767 nm. epitaxial growth of cadmium selenide on indium phosphide and gallium arsenide has been demonstrated. Figure 7 is an example where a 830 angle is measured between the (220) CdSe planes and the moir6 fringes. The analysis of the moird patterns reveals that these nuclei present small misorientations.The theoretical value (Dth )is equal to 5. Cortes. R. Numerous observations give measured values (Dm) which are clearly smaller (0. From the observation of numerous moir6 patterns it is found that CdSe nuclei grown on the terraces present misorientations comprised between 2 and 3'. 1997. Cortes. assuming a 3D instantaneous nucleation followed by a rapid diffusion control which is effective less than 0. by a variation of the selenium concentration or the cathodic potential. the analysis of the current transients shows that the best fit is obtained using the Scarifker model. Cachet. in relation with the absence of epitaxy. CONCLUSION Thanks to a severe control of the experimental conditions. REFERENCES 1. On the other hand only few nuclei present moir6 patterns. Heusler. Symposium Proceedings PV 97-27 "Fundamental aspects of electrochemical deposition and dissolution including modelling" The Electrochemical Society 1997) 3. S. M. M. Kusmuth.Cachet. Maurin. Solid State Electrochemistry. 4. H. Gomez, R. Schrebler, R. Cordova, R. Ugarte, E.A. Dalchielle, Electrochimica Acta, 40, 267, 1995 5. Y. Sugimoto, L.M. Peter, J of Electroanalytical Chemistry, 381, 251, 1995 6. B. Scharifker, J. Mostany, J. of Electroanalytical Chemistry, 177, 13, 1984 Electrochemical Society Proceedings Volume 99-9 267 3.0 - 2.52.01.5" 1.0 0 00 i" 31W 3105 310 3115 0.5- 0.0 3ime/ time / s 3.4Ma Figure 1: Epitaxial growth of CdSe on (100) InP a) Current-time transient (-046V to -0.9 V/SSE); open circles:experimental transient; dashed line: best fitting using the Scharifker model. Insert : experimental current after substraction of the double layer effect. b) RHEED pattern (azimuth <011>); deposition time : 25s a a32 312 3.0 2.5 1 2.01.5 0o0 030 010 02 223 204 215 1.00.5 0.0 a 2.1 2.2 time s 2.3 Figure 2 : Epitaxial growth of CdSe on (111) GaAs a) Current-time transient (-0.7 V to -0.95 V/SSE); open circles: experimental htransient; dashed line : best fitting using the Sharifker model. Insert : experimental current after substraction of the double layer effect. b) RHEED pattern (azimuth <112>; deposition time : 35 s 0.6 S0.4- "\ "•"'" "?" '-•Exp, 0.2 a 4.0 Sch ; 4.2 is - C-__ 4.4 Figure 3 : Polycrystalline growth of CdSe on (ill) InP a) Current time transient (-0.6 V to - 1 V/SSE); curve Exp : experimental transient; curve Sch : fitting using the Scharifker model; curve Cot: fitting using the Cottrell equation. b) RHEED pattern; deposition time 25 s 268 Electrochemical Society Proceedings Volume 99-9 Figure 4 TEM image showing groth steps on epitaxial CdSe thin films (d=lnm) a) Electrodeposition on ( 11) InP; arrows indicate nuclei on terraces. b) Electrodeposition on (1 i1[) GaAs. Figure 5 HREM observation of an epitaxial CdSe thin film (d=lnm) electrodeposited on ( 11i) InP. Electrochemical Society Proceedings Volume 99-9 269 Figure 6 TEM observations of epitaxial CdSe films electrodeposited on (111) InP. a) d = 2 nm; moir6 patterns. b) d = 4 nm; CdSe nuclei. ilm observed on an epitaxial f Figure 7 :Moire patterns and (220) CdSe lattice planes (d=4nm) grown on (_111_)InP. 270 Electrochemical Society Proceedings Volume 99-9 . X 4- IV 7 Figure 8 HREM image of a non epitaxial CdSe nucleus grown on ( 111) InP (d=2nm); atomic columns are projeted on a (110) plane. Electrochemical Society Proceedings Volume 99-9 271 FORMATION OF II-VI AND IlI-V COMPOUND SEMICONDUCTORS BY ELECTROCHEMICAL ALE Travis L. Wade, Billy H. Flowers Jr., Uwe Happek' and John L. Stickney* Department of Chemistry. University of Georgia. Athens, GA 30602-2556 + Department of Physics, University of Georgia, Athens, GA 30602 This paper describes ongoing studies of the electrodeposition thin films of the compound semiconductors CdTe and InAs, using the method of electrochemical atomic layer epitaxy (ALE). Surface limited electrochemical reactions are used to form the individual atomic layers of the component elements. An automated electrochemical flow deposition system is used to form the atomic layers in a cycle. Studies of the conditions needed to optimize the deposition processes are underway. The deposits were characterized using X-ray diffraction, scanning probe microscopy. electron probe microanalysis and optical/infrared absorption spectroscopy. INTRODUCTION Electrodeposition is becoming a more accepted methodology for the formation of electronic and opto-electronic materials, as evidenced by the damascene methodology for Cui interconnect formation [I]. This suggests that electrodeposition is not inherently incompatible with the manufacturing of devices. Metals of a useful quality can clearly be electrodeposited. The extent to which electrodeposition can be used in the formation of semiconductors is not yet clear. Examples of silicon electrodeposition are few and generally result in amorphous deposits [2-5]. Pourbaix diagrams [6] suggest that Si is far from stable in water, the most desirable medium for electrodeposition, although Ge may be tractable. Significantly more progress has been made in the electrochemical formation of Il-VI compound semiconductors such as CdTe. High efficiency photovoltaics have been borined commercially using electrodeposited CdTe, and several reviews have been published concerning the electrodeposition of Il-VI compounds [7-12.] There has been a recent increase in studies of the formation of CulnSe2 and related chalcopyrite compounds, as they appear to be good candidates for the formation of photo\ oltaics. Electrochemical formation ofa ternary compound presents additional problems, such as increased problems with stoichiometry. The I1l-V compounds have proven more difficult to form then the Il-Vt. or the chalcopyrites. There are very few papers where I1l-V compounds have been formed and still fewer that result in deposits that are better then powders. Considering the majority of methodologies used to electrochemically form compound semiconductors, it is not clear where significant improvements in structure, 272 Electrochemical Society Proceedings Volume 99-9 composition and morphology will come from. To this end, the method of atomic layer epitaxy (ALE) [13-181 is being pursued in an electrochemical format in order to increased control over the deposition process. The principle of ALE is that each atonlic layer of a compound is deposited using a surface limited reaction. In this way. twodimensional or layer b) layer growth, is promoted. I se of the word epitaxy in ALE is not necessari ly a result, but a desired outcome. There are numerous factors \which influence whether a deposit will be epitaxial, such as the lattice match between the deposit and substrate. Ihe electrochemical form of ALE makes use of underpotential deposition (UPD). the electrochemical phenomena where an atomic layer of one element frequently deposits on a second element at a potential prior to (under) that needed to deposit the element on itself'. he driving force lbr [Pt) can be thought of as resulting from the free energy of formation of a surface compound. These surface limited reactions are then used in a deposition cycle, where atomic layers of each element are deposited in turn, in order to form a monolayer of the deposit. The number of cycles performed determines the number of compound nionolayers and the thickness of the deposit. One of the main advantages of this methodology is that the electrochemical formation of a compound is broken down into a series of individually addressable steps. Each step in the cycle becomes a point of control over the deposition process. In execution, the process involves the use of different solutions and different potentials for the deposition of each element. One immediate benefit is that the precursors for the different elements do not have to be in the same solution, as they would in the more general co-deposition methodology [7-121 scenario. The solution pH, complexing agents. and depositions potentials can all be optimized for each reactant solution individually, resulting in a high degree of flexibility in the deposition process. The thrust four work is to better understand the limits of electrodeposition as a methodology for comIpound thin film formation: what controls the structure, composition, and morphology of an electrodeposited compound. Studies of electrochemical ALE have fOcused on Il-VI compounds. such as CdTe 112, 19-27], (dSe [24. 28. 29]. (dS [24, 30-3,8. ZnTe [12, 39, 40], ZnSe [12. 40]. and ZnS [12. 40, 41]. 1lowever, there have also been a few studies of the I1l-V compounds, GaAs [42. 43] and InAs [44]. The studies of GaAs were preliminary, resulting in the formation of only a monolayer of GaAs. There are significant challenges with the formation of GaAs, as the As atomic layers tend to reduce to arsine related species at the same potentials needed to form atomic layers of Ga. Thin films of InAs have, however, been successfully formed. This paper describes present studies of C'dTe and InAs that are ongoing in our group. ( dTe and InAs are the II-VI and III-V compounds for which we know the most concerning their formation using electrochemical ALE. Electrochemical Society Proceedings Volume 99-9 273 EXPERIMENTAL (iiven the repetitive nature of compound formation using electrochemical ALIE. anl automated deposition system was constructed to form films of a reasonable thickness (Figure I) [24]. The cell is a Ag/AgCI reference plexiglass thin laNer flow cell, designed back to form I X 3 cm2 deposits. The cell is presently made of substrate i Plexiglas, with a gasket teflon nut . defining the deposit area and -.-gasket sealing the cell. Gaskets are outlet inlet usually made of silicon rubber, Teflon, or Viton .... .. ... . rubber. The two main \walls ITO counter oftihe thin-lay er cavity are I Figure 1 Thin-layer flow cell used for the formation defined by the ITro counter of deposits by electrochemical ALE. electrode and I flat Au substrate. Solutions are stored in glass bottles, degassed with N2 and pumped into the cell wilth peristaltic pumps. SolutlionI selection was performed using a block of Teflon solenoid controlled valves. The pump heads and solenoid valves were kept in a Plexiglas box. purged with N, to 30 ppm of 0)2. Ihle majority of substrates consisted of 200 nm of Au vapor deposited on Si( 100) waters. \%ith a 10 nm Ti adhesion layer between the Si and Au. They appear mirror like to the eye. but consist of 40 11nm hemispherical bumps. Recently, the Au on Si has been replaced with Au on glass. as it can be flame annealed prior to use. This results in cleaner substrates, as well as substrates with more atomically flat regions. The Ag/AgCCI(3M NaCI) reference electrode from Bioanalytical Systems was kept in the outllow, stream to avoid contamination. The solutions were all prepared with analytical grade reagents, and water from a INanopure water filtration system, \xith t V sterilization, fed bx the house distilled water system. RESULTS AND DISCUSSION CdTe The majority of work on electrochemical ALE, in this group, has concerned the growth of CdTe. The chemistry used in the (d~e electrochemical ALE cycle has generally involved deposition of Cd atomic layers by reductive UPD, while Te atomic layers were formed using somne form of oxidative LIPD. In previously published studies of CdTe deposition using an automated deposition system. [23-251, oxidative Fe t iPD was performed in two steps, initial deposition of bulk Te from a pH 2 solution of HTeO,', followed by reductive stripping in a blank electrolyte solution. Direct reductive Te tIPI), from an ItTeO2+ solution was not thought possible, given the voltamtnetry in Figure 2a and b. Figure 2a is the voltamnmetry of a ALt thin layer electrode [19, 20. 451 with an aliquot of I mM 'Cd>.p-I 4. lhe tJPI) peak is evident around 0.1 V. while bulk deposition does not begin until -0.7 V. Between -- and -0.7 V, reductive current is 0.2 observed that has been ascribed to the formation of a Au-Cd surtfce alloy. Experience has shown that Cd atomic layers should be deposited near --0.7 V. Figure 2b is 274 Electrochemical Society Proceedings Volume 99-9 Cd deposited at -0.7. solulion pH 9. b) TeO.7 V would oxidatively strip while the Te is deposited at -0. That is. solution. CM. to prevent stripping of'120 the C(d.or additives to complex the reactants. the pII of the Te o lotion w\as changed to 10. c) teO. As can be see in the vollamnmetry in Figure 2c. half a volt positive of where Cd atomic layers should be deposited.voltanmmetry for a 0. I 5 V. Electrochemical Society Proceedings Volume 99-9 275 .. which has also been associated with a surface limited reaction. bulk Te deposition has shifted close to -0. This suggests 1 I aooleni V 2 Figure 2: a) Voltalnmetry of Au electrode in Cd solution. with a shoulder near -0. i Changing the P I of the Te deposition solution is a demonstration of the flexibility of the ALE cycle. As20• solution.rcductive Te UPD from this solution should probably not be performed any more negatively then -0. This situation led to depositing Te at potentials near --0. remove the excess fe. and allow the reductive tPD. 1 V.2 mM aliquot of a pH 2 solution of HTeO. the potentials needed to form atomic layers of the component elements can frequently be made similar.and e) iina pH 2. a 20 tiA I .1 V. a potential compatible with reductive e Cd ITPD.2.1 V\ Should produce an atomic laN er of' Te without appreciable bulk deposition. by a pfil change so that reductive Te atomic laver formation can be performed at potentials near -0. A second step was then used to reductivelC . Recently. while bulk deposition has a peak near -0. A clear UPD peak is visible near 0.. to avoid the need for two steps in Te deposition. 0 02 20 1A I C ttA d + 1 / I I I.05 V.25 V...' t-.* . i using the p1. where the reactant solucti ons canl be optimized that by separately.. A potential near --0. facilitating deposition of the cotmpounld. di)In solution..7 V.75. and the formation of a small amount of bulk Te. Ho\seveer.. the older cycle involved significantly Iclore rinsing. efforts are focused on using better substrates. suggesting interfacial strain and defect formation.. ./cycle was obtained in those studies. As mentioned in the experimental section. Rinsing x\ith the blank electrol\ te. however most of the broadening should be attributed to polycrystallinity in the deposit. 276 Electrochemical Society Proceedings Volume 99-9 . Use of Te potentials belows -0. The substrates are thus composed of a vast number of monoatomic Au steps. Aider than observed for single crystal CdTe. with no ( d..activity. Previous reports by this group indicated that the best (diTe deposits formed using oxidative Te UPD (with the two step Fe deposition process) CdT1ELECTRODEPOSIT POTENTIAL THICKNESS a FUNCTION TT as o DEPOSITION . from graphs of the deposit thickness as a function of the potential used to deposit Te [25]. and ha\ e a pie ferential ( I I I ) growth habit. The graph indicates that Te atomic lay ers cal be formed using over a 0. suggesting excellent flexibility for the deposition conditions. even vith the 3:2 match. latticc imismatch. are CdTe..2 V wide. roughly hemispherical in cross section (Figure 5a).. for deposits formed with 200 cycles. however. Figure 3 is a graph of the deposit thickness. 1 V resulted in a drop in CdTe coverage. Some of the broadening can be attributed to the lact that the film is only 70 nm thick. From this graph. For every three unit cells of the ALi there are two of the CdTe (zinc blonde)..4 Figure 3: (dle thickness as a function ofihe ML/cycle 125 1. and a decrease in deposit quality. The Au planes of'the substrate base been shownt to be predominately (I ll).. however.O.E.6 V range.1 to 0. as previously deposited Cd was not stable at such positive Te deposition potentials. may ha\ e resulted in excessive Cd removal. the Au onl Si(l100) substrates consisted of 40 nm Au bumps. . X-ray diffraction patterns of these deposits (Figure 4a) indicate that the.T. Using reductive U1PD for both elements has allowed deposition rates of just under I monolayer (NIL) per cycle. U se of Te potentials positive of -0.. Te rich deposits..()ne of the benefits of changing the solution pH has been an increase in the amount of CdTe deposited each cycle.. . . Reasons for the formation of crystallites instead of one large single crystal film may be many and varied.ITION .. The peak width is significantly. as a function of the Te deposition potential using the new cycle.6 V Wide. 1 V. . Studies of the dependence of the old cycle on various cycle variables indicated that the optimal potential ranges were about 0. 5 %. were only formed at the rate of 0. in nor. There is some % amiability in the thickness.rather that the anticipated I potential Used to deposit the Te atomic layers. . but the deposits \sere of similar quality.4 Ml. and CdTe( Ill) deposits have a 3:2 lattice match on these surfaces. more then a ML/cycle.7 V resulted in some bulk Te deposition. between -0. the optimal potential range appears to be 0. . (Graphs such as Figure 3 are a good indication of a process controlled by surface limited reactions. It is still not clear why only 0.7 and -0. in line with simple models of ALE. accounting for a significant defect density. . there is still a relatively large. Presently. ML/cycle. energy is shown in Figure 6a.4 V on the subsequent positive going scan. this probably results "from the fact that the apparently smooth Au bumps in Figure 5a are really composed of short Au tcrracesIsteps. consistent with the literature. Again. Bulk deposition starts near -0.ith electrochemical ALE on Ill-V compounds has focused on the growth of InAs.Recent transmission electron 18000 160000 14000 A XRD Spectrumn of CdT . Electrochemical Society Proceedings Volume 99-9 277 . 20 3 30 . higher roughness than the sho wving substrate.4 V).2 V. as In is significantly less reactive then Ga. 43]. 12000 10000 8000 4000 2000 0 20 . The In voltamlsetry shows a small IPD feature at -0. but that the deposit polycrystallinity originates froml substrate defects and the lattice mismatch.6 V. This suggests that an overpotential is required to initiate nuclei formation. micrographs (TEM) of 70 1nm thick CdTe deposits have shown the presence of 70 nm thick grains. where tlie reduction current gets very large near0. Patterns are for as deposited filns. Recent work x. using Au substrates and tile thin-layer flow cell (Figoure I) are shown in Figures 2d and 2e respectively.55 eV was estimated for this material. and slso\s evidence of a nucleation phenomena. 1 V. By extrapolating the near edge data. but does not climb back to zero current until -0. Defect formation at step edges is expected in the formation of a compound deposit oti an elemental substrate [46]. with excellent structure [126]. and thin films were not fbmsed. no Optical characterization of the anaig performed using deposit w\as reflectance measurements. This suggests no inherent problem with electrodeposition process. At. a hand gap of 1. x\ while 5b is of 200 cycles of ('dTe deposited on top. InAs As mentioned in the introduction.3 V and one for JPD at -0. Two oxidative stripping features are observed.. Figure 5a is a scanning probe image of a typical Au on Si(f100) substrate. Ga reactivity proved too great for the hardware used at that time. 40 41 20 50 C 0 00' 7 70 2) B AA - |[11 A u 200 A01 12201 (12 (22) 1220) 0 15 25 35 45 55 65 20 degrees Figure 4: X-ray diffraction patterns for 200 cycle deposits of A) CdTe. deposition can occur at a reasonable rate near the formal potential (about -0. one for bulk In at -0. Some studies of the formation of GaAs using electrochemical AI[ were performed early on [42. and a plot of (ahv)2 vs.4 V. B) InAs. 'Te deposit is not conformal under these conditions. and once they are formed.. Vohtamtmetry for As203 and In>' solutions. very little progress has been made in the electrodeposition of Ill-V thin films.. just before 0.: _ _50 . The plateau appears to result from slow kinetics for As deposition. However. Au. and those films were characterized by a relatively rough morphology. More negative potentials can be used to form the atomic layers. Previous studies have shown that at potentials lower then about -0. as mass transfer limitations would not be expected for the 5 mM solution.1 V. It is suggested here that as the compound semiconductor is formed.9 V. and no visible deposit is formed. The cycle used to form InAs starts out with potentials suggested by the voltalmmetry shown in Figure 2d and 2e. however the first ten or so cycles result in much more than the growth of single atomic layers. with a peak that might be interpreted as a UPI) feature. The increase in reduction current below -0. and then on each other. bulk and UPD. with a number of Micron sized crystals distributed across the surface. all the As.7 V appears to result from hydrogen As 20 3 to evolution. On the subsequent positive going scan (Figure 2d). The solution has been to adjust the Figure 5: Atomic force micrographs of A) Au vapor deposited on Si(100). The charge for the UPD feature corresponds roughly to the formation of an As atomic layer. The rough morphology appears to result from using potentials in the bulk deposition range. Such cycles were used initially [44] to form films.1 V. Excess As appears to be converted to AsH 3 [42]. The cycle deposit of CdTe on Au on Si. the charges associated with formation of atomic layers of In and As quickly diminish.8 V.Arsenic deposition starts about -0. nm 5OO 250 0 56. a rectifying junction forms between the InAs 278 Electrochemical Society Proceedings Volume 99-9 . and reduction of As and AsH3.000 growth occurs. shift as the deposition proceeds. where one atomic layer of each element is deposited each cycle. B) 100 potentials as tile deposition takes place. As deposition greater than a ML is not observed. after which the reduction current increases rapidly. C) 200 potentials needed for UPD of the elements on cycle deposit of tnAs on Au on Si. 5. are oxidatively stripped in one peak. The peak is followed by a kind of plateau where the current remains low and relatively steady until nearly -0. where three-dimensional 500 250 0 So 250 . Mater. J. Lee and F. peak for In is evident in the unannealed deposits. A small of [hAs. R. Thus the present methodology is to start at potentials such as those suggested by Figures " 2d and 2e. T.1. S. REFERENCES I1. Mohan. 3. P.Mater. the excess As is not crystalline. Electrochemical Society Proceedings Volume 99-9 279 .6 1. ACKNOWLEDGEMENTS Support from the National Science Foundation. J. Rani. Reflection IR measurements were obtained from these films. P. S.2 . Deligianni. Sundarsingh.5 Band Gap EG. S. 0. and then to shift the potentials negatively as the film grows. 6305 (1997). Division of Materials Research is gratefully acknowledged. 1. Ramamnohan. and J.44 eV. 4. Materials Science and Engineering B-Solid State Materials For Advanced Technology 15. A) 200 cycles of CdTe. L. T. The extent of the In peaks in the XRD and the amount of excess As. Venkatachalamn. Mohan. This procedure dramatically improved the deposit quality. suggesting changes to the deposition potentials. Venkatachalam. V. Dukovic. C. 5. R. Reflection IR has proven to be a very simple way of monitoring for the presence of InAs in the deposits. Kroger. J.36 eV lbr the bulk compound. not In. 936 (1982). Andricacos. 27. as is support for Travis Wade by UGARF at the University of Georgia. However. Electrochern. J. J. so that it does not show up in XRD. 2. R. C. and a plot is shown in Figure 6b. Soc. Sarma. R.5 0. and H. T.55 eV 2. elemental coverage data from electron probe microanalysis (EPMA) indicated that the deposit was rich in arsenic.0 CdTe 190nm Thickness Gold on Silicon 2. R.0 1.8 Aln X-ray diffraction (XRD) Figure 6: Reflectance data plotted to determine the pattern of one of the early deposits deposit band gap. 1p. A. 129. B) 500 cycles is shown in Figure 4b. while tile In is crystalline.0 -Experimental 1.zoh. J. 237 (1992). and optimization of the cycle is ongoing. Venkatachalam. R.C. Sundersingh. 567 (1998). and V. Singh. and does show up. Sarmna. P. I. The deposition charge call be used to monitor deposit growth. Sundarsingh. are a function of the cycle used. Singh. Evidently. R. . H. P. Horkans.deposit and tile ALu. The measured band gap was 0. and V. to be compared with the 0. 3. 32. P. Sci. 4762 (1992). P. Ibml Journal of Research and Development 42. Singh. fromn EPMA. Sci.5 A oO 0. The potential inherent at the junction is accounted for in the potentials applied to form the atomic lavers.4 hv (eV) 1. L. Chem. L. T. and C. Langmuir 10. F. A. M. Colletti. L. 7. S. Sci. Sci. Phys. 21. Energy Mater. Rubenstein. 195 (1989). K. D.. IM. Pergamon Press. 4. lsr. Watanabe. Suggs. E. L. Stickney. . W. J. N. Moffat. L. D. L. 145. Gregory and .. L. Phys. Suggs and J. Surf. I1. G. Guidelli. 24. 15. in Electrochemical Synthesis and Modification of Materials. Demir and C. DenBaars and P.. 9.. M. 20. Suggs and S. Boston.Shannon. and . U. Oxford. Wilmer. Pessa. Proc. 28. M. D. Atomic Layer Epitaxy. L. Stickney. 107. N. 64 (1994). Mater. 1. W.. S. eds. 1(. 130 (1993). 153 (1996). B. L. Phys.L. B. B. 40. 38. 13. Energy Mater. and Manufact. 10. 323 (1994). K. 197 (1985). 6499 (1998). L. Marcel Dekker. and T. d. C. M. 369. 23 (1992). Taylor. 23 (A. 290. F. B. 32. S. P. E. W. 31. 1996. 287 (1997). Atomic Layer Growth and Processing.6. T. G. Sahu. G. M. P. 235. M. Vol. Soc. Colletti and J. Strelhsov. 18. G. 300. 29. Gichuhi. T. Stickney. Atlas of Electrochemical Equilibria in Aqueous Solutions. 145. L.. Anderson. Surf. Phys.J. Sci.L. 283 (1995). 594 (1996). L. . 222. Shannon. I.S. Lister and J. Mater. Kuech. Materials Research Society.E. and S. 3007 (1995).1. M. . Marcel Dekker. JL. Langmuir 12. and Y. Corcoran. eds. New York. Htandbook of Semiconductor Electrodeposition. Goodman and M. 362 (1993). Adv. . J. 2794 (1994).1. Thin Solid Films 225. 0. P. Stickney. 25. . 4774 (1997). Appl. Growth 98. Foresti. Flowers Jr. Demir and C. .Cryst.. Inc. Annu. 32. J. Dapkus.and G. B. (1998). Fulop and R. Rhee. . Electrochem. Pittsburgh. B 102. 36. M/later. Hayden and 1. 13. T. 22. 21. 1-. L. Rev. J. Dapkus. Ui. Elsevier. Langmuir submitted (1999). Gichuhi. D. 37.. P. Pezzatini. 142. Thomas. S. and . J. F-. C. D.Electrochem. M.. 227 (1993). R65 (1986). 290. Gregory. L. Lister and J. Niinisto and L. J. eds. Stickney. Rajeshwar. L. E. Chem. P. 1. Boone. 101...L. 10. Nandhakumar. Cheln. Aloisi. Electrochem. 543 (1991). Demir and C. Stickney. Cavallini.Electroanal. Electrochem. Delplancke. Colletti. Sol. F. Langmnuir 11. B. 280 Electrochemical Society Proceedings Volume 99-9 . Bedair. 375 (1993). 1!. J. 1993. Napolitano. 145 (1994). B 102. L.. 35. V. Rev. 1999. Hodes. Villegas and P. 33. J. Stickney. 37. B.. Huang. Vol. Stickney. Amsterdam. 1991. 1442 (1998). 1. D. 38. R. Materials Resarch Society.. P.I. S. C. J. 74130 A.V. 6091 (1996). Shannon. Pandey. D. Demir. 138. L. Holmstrom.. P. J.. M. R. Andricacos.1. Stickney. Thomas. 30. P. 26. 15. 12. Stickney. G. H. W. H. Chem.. Usui and H. Shannon. T. in Electroanalytical chemistry. 31. Soc. 8. Akad. Chandra. S. K. Dokl. Huang. Phys.). Gruszecki and B. and S. A. 39. p. 451 (P. Searson. New York. Colletti. Pourbaix. S. Innocenli. L. Nauk Be]. L. Gregory. 19. and J. Soc. 1949. and J. Chem. and C. B. Shannon. P. 17.. Electroanal. and R. G. C. M.. Wilmer. C. 1279 (1991). 185 (1991). 16. L. M. Foresti. Colletti. W. Boone. Aoyagi. Electrochem. L. 146. L. and J. Chem. 1996. Ann. Bard and I. 23. P.). 27. E. Surf Sci. Chem. 4897 (1998). cd. Vol. J. 117 (1999). M. Sci. A. Sol. Soc. Soc.. Apple. 14. E. Stickney. and J. 34.W. 3594 (1998). B 102. Mater. 60. J. Gao. Gregory. Technol. Electrochemical Society Proceedings Volume 99-9 281 . S. 1. 1. Display 5. Stickney. submitted (1999). K. and N. Flowers Jr. L. A. A 10.1. M. Soc.. Slaughter. Happek. Otsuka. L. Electroanal. L. U. Villegas and S. 85 (1990). Wade. Y. Nlorkoc. . 13.Vac. . Stickney. and J. 3032 (1992).J. and J.-B. R.41. Norton. Soc. H. M. 42. 139:686 (1992). J. Untu.Garvey. Vac.L. 45. Technol. Sci. G.. 46. Sci. L. 43. Soc.. Electrochem. 1131 (1990). L. Li. Info. and J. Adomi. Stickney. S. Agarwal. Nakamura. A. Villegas and J. Stickney. Chem. 1. Colletti. I. 87 (1997). Rocket. L. D. 11. I. Electrochem. B. T. P. L. Strite. J. B 8. 44. 293. Specifically. where o'is the electrical conductivity). but do not survive transfer to the Sb deposition solution. but the carrier density is low. In contrast. Metals are typically poor thermoelectrics because of a low Seebeck coefficient and a large contribution to the thermal conductivity by the conduction electrons.5 nm and a mean height of 0. it must possess a large thermoelectric figure of merit. 2) the generation of electrical power from so-called 'waste' heat in automobiles. p is the resistivity (p =1/ . the use of sequential underpotential deposition (upd) cycles of Sb and Co for the production of CoSb phases on Au substrates is investigated. 282 Electrochemical Society Proceedings Volune 99-9 . S is the Seebeck coefficient. have shown that a carrier density intermediate between that of a metal and that of an insulator is optimum (N-10"9 cm-3 ) (1). AL 36849-5312 The use of electrochemical atomic layer epitaxy for the electrosynthesis of high quality thin films of thermoelectric materials is studied. insulators have a large Seebeck coefficient and a small electronic thermal conductivity. In order for a material to be an efficient thennoelectric cooler. and 3) the active cooling of modern electronic device components. stable upd layers of Co can be produced on the Sb/Au surface. Anthony Gichuhi Department of Chemistry and Peter A. Mahan. et al. Barnes. Michael J. and were imaged for the first time by STM. doped semiconductors make the best thermoelectrics.35 nm. INTRODUCTION Research on thermoelectric materials has experienced a considerable resurgence in the past five years driven by three underlying concerns: 1) the environmental impact of freonbased cooling technologies. In addition. These layers consist of randomly distributed islands of Sb with a mean diameter of 5.. S z = -2 1(p [I] In this equation. Co upd layers appear to form in situ on Au. Stable atomic layers of Sb can be formed on Au. Typically. Z. leading to a high resistivity. Bozack Department of Physics Auburn University Auburn. suggestive of the formation of a stable CoSb phase.ELECTROSYNTHESIS OF THERMOELECTRIC MATERIALS BY ELECTROCHEMICAL ATOMIC LAYER EPITAXY: A PRELIMINARY INVESTIGATION Curtis Shannon. In contrast. and icis the thermal conductivity. there is a 180 mV positive shift of the Co upd formal potential to more positive values. which is defined by equation 1. Materials such as the Bi 2TefSb2Te 3 alloys. is used to synthesize a binary compound by successive deposition of each element fiom its respective solution precursor. we investigate the use of EC-ALE to synthesize thin films of CoSb phases with an aim toward the production of layered structures of these materials for use in thermoelectric applications. Hicks. EC-ALE is an attractive electrosynthetic alternative to conventional deposition methods that is inexpensive. Furthermore. 99. the electrical conductivity through the wells is dramatically increased due to an increase in the density of electronic states in the two dimensional system. This technique promises to overcome many problems associated with other electrosynthetic approaches.999%) is flame annealed into a microbead in an Ar-sheathed H2-O flame. At the same time. Layered nanostructures can be deposited from the electrochemical environment by applying a time dependent voltage program to the working electrode (5) or by using a sequential deposition scheme such as electrochemical atomic layer epitaxy (EC-ALE) (610). in a layered structure such as a superlattice. One reason for this is that in many instances increasing S leads to a concomitant increase in resistivity. such an approach will lead to thin films with enhanced thermoelectric efficiencies. In this paper. exhibit the largest known thermoelectric figure of merit at room temperature (ZT-~). which are used in commercially available Peltier coolers. it has proved difficult in practice to increase Z by engineering materials properties alone. Immediately following removal from the flame. one of the most promising of which is the use of quantum well superlattices. we have recently used EC-ALE to fabricate stable semiconductor heterojunctions with extremely abrupt interfaces (11). thermal conductivity is decreased due to enhanced phonon scattering at interfaces. For example. et al. EXPERIMENTAL Single crystal Au(I 11) substrates were prepared according to previously published literature methods (12). the Au microbead is submerged in ultrapure water to protect the surface from contamination.tm. In EC-ALE. These substrates can be easily aligned for STM imaging using a low magnification optical microscope. A notable exception is the class of materials known as the 'skutterudites' (3). such as the formation of highly polycrystalline deposits and interracial interdiffusion. Several strategies based on novel device architectures have been developed in an effort to improve overall thermoelectric efficiency.0 mm polycrystalline Au wire (Alfa-Johnson Matthey. Although it has been suggested that the maximum possible value of ZT is about 14 (2). a surface-limited electrochemical reaction. The microbead is zone refined in the flame to reveal several elliptical (111) facets whose major and minor axes measure approximately 1000 trtm and 500 . operates at ambient temperature and pressure and provides precise film thickness control.2-1. have shown that a significant increase in the figure of merit can be achieved using quantum well superlattices synthesized by molecular beam epitaxy (4). such as underpotential deposition (upd). If successful. while at the same time keeping the production cost of the device low. an increase in electrical conductivity leads to an increase in the electronic contribution to the thermal conductivity. respectively. In certain superlattice systems. Briefly. a 0. Electrochemical Society Proceedings Volume 99-9 283 . thus. normal to the plane of the surface) was carried out using the Au atomic step height (0. Samples were briefly exposed to atmospheric conditions while being loaded into the AES system. and the scan rate is 0. RESULTS AND DISCUSSION Underpotential deposition of Sb Figure I A shows the voltammetric response of a Au microbead electrode in contact with a 0. Arthur Moore. were prepared by etching a 0. Union Carbide. respectively. the exact tunneling conditions are given in the figure captions. the sample was biased positive relative to the tip. It should be noted that in all experiments.05 M H2S0 4 electrolyte that was 0.scale images were acquired using both constant height and constant current modes.. The cathodic and anodic limits are -0. and a Au(l 11) single crystal in which the interatomic distance of Au is 0. all images presented are unfiltered.0 x 1. the voltammetric signal originates from the entire polycrystalline microbead. however.24 nm). 4 All scanning tunneling microscopy experiments were performed under ambient conditions using a Model SA-1 STM (Park Scientific Instruments.400 V. The most negative cathodic wave at -0. and a Ag/AgCI (3 M NaCI) as the reference electrode to which all potentials are referred.All reagents were used as received without further purification. used in the atomic scale images.05 M H2SO. Unless otherwise stated. The calibration of the piezo in the z-direction (i.100 V sec-'. Two cathodic and three anodic waves are observed in this i-E trace.400 V and 0.45. The flow-through electrochemical cell consisted of a three-electrode configuration: the Au microbead as the working electrode. The pH of this solution was 3. The x-y plane calibration was perfonied using two different standards: highly oriented pyrolytic graphite (HOPG.5 mM in Sb 2 0 3 .210 284 Electrochemical Society Proceedings Volume 99-9 .and micron.5 mM Sb 20 3 in 0. Underpotential deposition of Sb was carried out from a 0. Sunnyvale. no evidence for surface contamination was observed in any of the measurements. W tips. Underpotential deposition of Co was carried out from a 0. Parma. The electrochemical cell was directly connected to the solution-handling manifold that allowed the electrolytes to be changed without the electrode being exposed to the laboratory ambient. Auger electron spectra (AES) were collected with a conventional single pass cylindrical mirror analyzer system.0 cm Au foils.2 MQ-resistance water and were purged for 20 min with ultra high purity (UHP) Ar to remove dissolved 02.e. CA).5-mm diameter wire in 1 M KOH solution using a model TE-100 STM Tip Etcher (Park Scientific Instruments). Atomic. were used for the micron scale images. OH).29 nm.10 M NaCI/HCI electrolyte containing 1 mM Co(C1O) 2. All AES measurements were performed on 1. In all cases. a Pt wire as the auxiliary electrode. All depositions were carried out from pressurized solution reservoirs made of Teflon or Kel-F. Pt:lr (90:10) tips. donated by Dr. Cyclic voltammetry was performed using a Pine AFRDE-5 bipotentiostat and an HP7055 X-Y recorder. no attempt was made to record the voltammetry of an isolated (11) facet. supporting electrolyte. cut at a 450 angle. All solutions were made using Millipore Q 18. as well as the tendency of the electrodeposited Sb to alloy with or diffuse into the underlying Au. at which potential the electrode was emersed into an Ar atmosphere and immediately transferred to pure electrolyte (0.24 nm in height) are observed. which occurs at -0. +3H 20 [2] Three well-defined. Our assignments are also consistent with the earlier report of Rhee and coworkers on the Sb/Au system (13). consistent with the stripping of a surface bound species. The electrode potential was then swept anodically at 0. while the feature at -0.000 V and 0.105 V. The observation of oriented pits is the characteristic signature of atomic level corrosion of Au(l 11). Specifically. the charge density under this wave was found to be 170 uC cm-2 . the cathodic process corresponds to the three electron reduction of Sb 2O3 to Sbd.140 V..e. as expected for the reduction of a surface-bound species. Formally at least. the following experiment was performed. The peak current in the wave at O -0.100 V sec and the stripping current recorded. is assigned as the stripping of bulk Sb based on the observation that the peak current is extremely sensitive to the cathodic switching potential. Following deposition of Sb. are assigned as stripping of Sb atoms bound to the Au surface (i. Sb 2 0 +±6H+ +6e. a clean Au electrode was immersed in the Sb deposition solution and scanned to a cathodic limit of -0. The remaining two anodic features.05 M H2SO4 containing no Sb 20 3). In an effort to assess the stability of the adsorbed Sb monolayer to emersion and transfer to a different supporting electrolyte. Similar structures Electrochemical Society Proceedings Volume 99-9 285 . the peak currents exhibit a linear dependence on the scan rate. First. The first of these.25.. it should be noted that the peak current in the bulk wave displays the expected linear dependence on the square root of the scan rate.55 eV) and Au (5. Figure 4A shows a representative 0. stripping of a contact adsorbed monolayer of Sb atorns). The peak potential of the large stripping wave is 0. this charge density corresponds to a coverage of 0.147 V peak is shown in Figure lB. In addition. sharp voltammetric waves are observed when the electrode potential is swept anodically from the cathodic limit.042 V displays a linear dependence on the sweep rate. the surface morphology is characterized by a large number of pits and small protrusions as shown in Figure 4B. Finally. Figure lB. The characteristic Sb transitions are clearly observed in this spectrum. Figure 2A. which is reasonable given the similar work functions of Sb (4. identical within experimental error to what was observed in the presence of Sb20 3. Figure 2B. The pits are generally triangular in shape and are all oriented in the same direction. Assuming an electrosorption valency of 3. The scan rate dependence of the peak current for the 0.V is assigned as the diffusion-limited reduction of Sb2 0 3 .147 V. A typical spectrum is shown in Figure 3.41 um STM image obtained from a single Au(1 11) facet after flame annealing. Atomically flat Au( Ill) terraces separated by single Au atomic steps (0. at 0.. The peak currents observed for these waves are independent of the cathodic switching potential. The structure of the electrode surface prior to and following Sb electrodeposition was investigated using scanning tunneling microscopy (STM).41 Lm x 0.. Although not shown. respectively. Auger electron spectroscopy experiments were carried out to confirm the presence of Sb on the surface of the electrode. ip increases as the switching potential is made more negative and decreases when the switching potential is made more positive. In addition.150 V. in both cases.1 eV).(=.042 V corresponds to the reduction of an adsorbed Sb 2 3 species.2Sb. Underpotential deposition of Co The voltammetric response of a Au electrode immersed in 1 mM Co(CO10) 2 in NaCI/HCI supporting electrolyte is shown in Figure 5A. CONCLUSIONS Stable atomic layers of Sb can be formed on Au surfaces using EC-ALE. 0. when a layer of Sb is electrodeposited on Au as described above. in alkanethiolate monolayers formed by self-assembly (15).660 V has not been firmly established at present. 5 nm. Figure 5B.e.820 V. not by island formation. we observe a mean diameter of 5.35 nm and an apparent coverage of 0.. A linear dependence of the peak current on the scan rate is evident from the data set. In order to test this hypothesis. AES and imaging experiments. although there is a wide variation in pit diameter. for example (14). Small triangular pits are also characteristic of the formation of Au vacancy islands during the adsorption induced lifting of the (23x'. On the other hand. the pits we observe tend to be of two distinct sizes. it may correspond to the formation of a Au/Co alloy. The most noteworthy feature of this voltammogram is the 180 mV positive shift of Eý in the presence of adsorbed Sb (as compared with naked Au). 17). that this layer is not stable to emersion or to electrolyte transfer. which suggests the formation of a stable CoSb phase tinder these experimental conditions. a voltammetric feature attributable to Co upd is observed. followed by the deposition of Co. Specifically. Interestingly.5 nm and a mean height of 0. Although the nature of the wave at -0. we are currently investigating the formation of Sb atomic layers on Pt electrode surfaces (the work function of Pt is 5. Similar structures are observed.. at -0. In contrast.are observed in CN. Most upd layers are characterized by the formation of large. Low coverage phases are characterized by a low packing density. Stripping and AES experiments indicate. for example. A representative cyclic voltammogram in the upd region is shown in Figure 6.24 nm (i.. Only when potential excursions are made into the bulk deposition region is there any evidence of Co electrodeposition from Auger spectroscopy.65 eV).660 V and -0. with lateral dimensions of ca. The more negative wave is assigned as the diffusion-limited reduction of Co'*to Co. the Au atomic step height). When the upd region of this voltammogram is expanded. On the basis of our electrochemistry. we believe these structures to be islands of Sb.1 urn and ca. These electrodeposited monolayers consist of a random distribution of Sb islands with a mean diameter of 5.25. however. well-ordered domains across the surface. a mean height of 0. The formation of randomly distributed islands is in contrast to what is typically observed for a upd atomic layer.solutions. the Co layer appears to be stable. Corrosion initiates at surface defects and propagates by lateral expansion of the pit nucleus. there appears to be significant pitting of the Au(1 11) terraces as a result of corrosion and 286 Electrochemical Society Proceedings Volume 99-9 . It is possible that the smaller pits are Au vacancy islands and that the larger pits are due to a corrosion process. On the other hand. It is well known that the work function difference between the deposit and the substrate plays a role in the stability of a upd monolayer (16. In addition.3) reconstruction of Au(l 11). the pits are all characterized by a uniform depth of 0.35 nm for these features.5 nm. the protrusions are much more narrowly distributed in size.. The tendency of Sb to form islands on Au may be the result of the very similar work functions for the two materials and a large lattice mismatch. Two reductive waves can be seen in this voltammogram. Guidelli. Langmuir. 102. Golden.Chem. Langrtiuir. 13. K. Advances in Electrochemistry and Electrochemical Engineering. B. Kammler. Shannon. Igor Nicic for his help in the preparation of some of the samples. 290. Suggs and J. B. 4897 (1998). J. C. E. B. Proc. Jr. Gichuhi. Phys. D. Kolb. Dresselhaus. Mahan and J. Demir and C. D. 120. C. 3530 (1998). L. Sci. 102. Harman. T. D. H. A. Switzer. W. 272. D. 53. Phys. (12) U. L. Surf. M. Editors. Langmuir. New York. J. Gichuhi. (1978).. K. Electrochemical Society Proceedings Volume 99-9 287 . M. Chem. the National Science Foundation and Auburn University are gratefully acknowledged for their financial support of this work. Electrochem Soc. Langmuir. B.. 7436 (1996). Mahan. Sharp. 102. 6499 (1998). Juttner and W. Sofo. 93. E. Jung and C. (17) K. 11. P. B. attempts to deposit atomic layers of Co onto naked Au surfaces at underpotential were not successful.. Innocenti. Hung. Stickney. J. 125. 277 (1997). Acad. Soc. 122 (1997). Bohannan. Sales and J. 1325 (1996). J. M1. Shannon. Lorenz. Crooks. Physics Today. Flowers. Phys. 15. 13. Boone. REFERENCES (1) G. J. The chemical nature of the CoSb phase formed is under investigation. N. and R. C. Sun and M. Poirier. M.-J. p. B. (3) B. 122. F. (8) M.S. and will be reported on in the near future. R10493 (1996). USA. Aloisi. (5) J. 2794 (1994). Wiley lnterscience. (16) D. 7413 (1998). (14) F. E. J. Chem.. Tobias. D. X. C. H. Colleti. W. Chem. vol. W. Z. Shannon. Sci. U. Cavallini. G. Rhee. Sales. 436. (13) G. L. J. ACKNOWLEDGMENTS The Petroleum Research Fund (administered by the American Chemical Society). S. B. Foresti. Chem. Science. and R. stable Co upd layers can be formed on the Sb/Au surface. 763 (1999). Natl. Mandrus. Phys. D.. Chem.145. Nandhakumar. and C. 1. R. C. 0. and E. 10. (9) D. Am. Although. Pezzatini. Electroanal. Huang. Williams. R. Demir. (6) B. (11) A.Au vacancy island formation during Sb electrodeposition. Switzer. (2) G. Gerischer and C. G. Hayden. (4) L.. 2019 (1997). We thank Mr. L. Zamborini and R. 42 (1997). P. E. T. Stickney.. (7) L. E. 1442 (1998). Rev. 163 (1980).-Y. Hicks. Phys. Boone. (15) G. 50. (10) A. 362 (1993). J. (B) Peak current as a function of sweep rate. (A) Cyclic voltammetry of an Au electrode in 0.09cm-.O3 in 0.5 mM Sb. See text for details. The sweep rate is 0. 288 Electrochemical Society Proceedings Volume 99-9 .FIGURES A B Ca O 20 40 60 80 100 120 Scan rate (mV/sec) -0.4 0.4 Potential (V vs Ag/AgCl) Figure 1.05 M HSOC supporting electrolyte.100 V sec-' and the electrode area is 0. 05 M HSO4 ).20 pA A 2O A B I I -0.4 0. (B) Stripping of Sbhal after transfer to pure electrolyte (0. Electrochemical Society Proceedings Volume 99-9 289 .400 V and emersed at -0.150 V.4 Potential (V vs Ag/AgCI) Figure 2.. Experimental conditions as in Figure 1. The electrode was immersed at 0.4 Potential (V vs Ag/AgCI) I -0. (A) Reduction of a monolayer of Sb 2O. Other conditions as in Figure 1.4 0. ..... -200.. .. ....................... -40 . -400 ... . 290 Electrochemical Society Proceedings Volume 99-9 ....... ... ................................. ......... ..... ...... ..... Au 206 400 Kinetic Energy 666 (eV) Figure 3..........66 t: C c ....... .. ... Auger electron spectrum of a Au electrode onto which a single Sb monolayer was deposited........... Au Au c 0 AU: .... ... ................ .. Experimental conditions as in Figure 2A. . .... 1 . ...... .. ................. .. .... .... LSb ......... 1:AES Survey 1 ML Sb As Received .... ........ .. . . ................ ........Sb Sb S Subvey C . .... 8...... STM images (0.-0.4 pm x 0.4 pm) of a Au electrode (A) prior to and (B) after deposition of a Sb monolayer. Electrochemical Society Proceedings Volume 99-9 291 .1 PJM Figure 4. (B) Underpotential deposition region. (A) Survey scan showing bulk deposition. 292 Electrochemical Society Proceedings Volume 99-9 .A 25 pAI A B -.35 0 Potential (V vs Ag/AgCI) -0.0 Potential (V vs Ag/AgC1) Figure 5.9 0. Electrodeposition of Co. 5p A A 1. Electrochemical Society Proceedings Volume 99-9 293 .2 Potential (V vs Ag/AgCI) 0. (B) Stripping in pure electrolyte. (A) Underpotential deposition region. Electrodeposition of Co on Sb/Au.B 0.3 vs Ag/AgC1) Potential (V Figure 5.2.2 -0. determined by their stripping. 294 Electrochemical Society Proceedings Volume 99-9 . G. F. by alternate underpotential deposition of the elements forming the compound. A monolayer of the compound is obtained by alternating the underpotential deposition of the metallic element with the underpotential deposition of the non metallic element in a cycle. Innocenti. Pezzatini. Via G.L. always yielded the stoichiometric 1:1 ratio. Form and M. so that the resulting deposit is generally limited to an atomic layer. Underpotential deposition is a surfacelimited phenomenon. Foresti* Dipartimento di Chimica. Stickney and co-workers developed this method to obtain low-cost production of structurally well-ordered 1t-VI and III-V compound semiconductors on gold [1-3]. di Firenze. Introduction Recent work in our group is devoted to the growth of thin-film compound semiconductors on silver single crystals by Electrochemical Atomic Layer Epitaxy (ECALE). The method is based on the alternate electrodeposition of atomic layers of both elements. thus the ECALE cycle can be repeated as many times as necessary to obtain deposits of practical importance. 9. The amount of the elements deposited. making op the compound at underpotential. The thickness of the deposit is determined by the number of cycles. 50121 Firenze. Capponi. Universiti. An automated electrochemical deposition system making use of a simple distribution valve is described.CdS AND ZnS DEPOSITION ON Ag(l11) BY ELECTROCHEMICAL ATOMIC LAYER EPITAXY M. Italy ABSTRACT We applied the Electrochemical Atomic Layer Epitaxy (ECALE) methodology to obtain deposits of CdS and ZnS on Ag( 11). pH. concentrations. This paper describes the growth of thicker deposits of CIdS. Sulfur layers were obtained by oxidative underpotential deposition fromn sulfide ion solutions [4-6]. in order to evidence the whole underpotential oxidation process of sulfide ions which takes place between -1. deposition times and the possible use of complexing agents.8 V/SCE.The method requires the definition of precise experimental conditions. A strong complexing agent such as phyrophosphate was used to keep cadmium ions ill solution at this high pH. InI a previous paper we described the experimental conditions needed to obtain up to 5 sulfur layers and 4 cadmium layers of CdS.35 and -0. and Aldrich analytical reagent grade NaS were used without further purification. such as potentials. The morphologies of the deposits were examined by SEM. We found the conditions to grow practically all Il-VI compound semiconductors and are now beginning to study the II1-V compounds. The working electrodes were silver single crystal discs grown in a graphite crucible. supporting electrolytes. whereas cadmium layers were obtained byi reductive underpotential deposition from cadmitim ion solutions [7]. Merck analytical reagent grade lIC10 4 and NH3 were used to prepare the p11 9. The experimental conditions for CdS and ZnS growth on silver are different from those required on gold [8-10] Experimental Nlerck analytical reagent grade 3CdSO 4 8H20. The water used was obtained fiom light mineral water by distilling it once and by then distilling the water so obtained fromn alkaline perinanganate while constantly discarding the heads. oriented by X-rays and cut Electrochemical Society Proceedings Volume 99-9 295 . obtained with the use of an automated system. The substrate that has been used tIp to now is Ag(lll). Both precursors were dissolved in pyrophosphate plus sodium hydroxide of pH 12. up to 150 deposition cycles. The solutions were freshly prepared just before the beginning of each series of measurements.2 ammonia buffer. reactants. These conditions are strictly dependent on the compound one wants to fbrm and on tile substrate used. namely a single crystal to ensure the maximum probability for the epitaxial growlh. The paper also describes the conditions to obtain ZnS. The high pH was used to shift the hydrogen evolution towards very negative potentials. and the counter electrode is a gold foil placed at the other end. held by a silicone plug. the electrode was polished chemically with Cr0 3 according to the procedure described in Ref. The piston is tightly held by a spring to block the inlet of the solution and can be raised opening the solenoid valve and by sending compressed air at 6 atm. and then constantly kept tinder a pressure of Argon of about 0. The cell is a Teflon cylinder with about a 5 mm inner diameter and a 30 mmun outer diameter.5 ml. the inlet of the solution is inclined.3 m (Buehler Micropolish II) and then annealed in a mnuffle furnace under vacuum for 30 ruin at 650'C. 12.3 atm. The reference electrode is placed on the outlet tubing.according to the Bridgman technique [11]. The pressure of 0. The working electrode is housed in a special cavity at one end of the cylinder. This consists of Pyrex solution reservoirs.3 atm exerted on the solutions determines a flow-rate of about I ml s-1. for simplicity. All potentials are referred to the saturated calomel electrode (SCE). solenoid valves and a distribution valve. Before measurements. The electrochemical flow-cell shown in Fig. Leakage is avoided by pressing both the working and the counter electrode against a suitable Viton® o-ring. Figure I shows the distribution valve which. the electrode surface was soaked in concentrated sulfuric acid for about 20 min and then rinsed thoroughly with water. Electrical contact with the working electrode was made using a silver wire. The distribution valve is entirely made of Teflon and was designed and realized in the workshop of our Department. These electrodes were polished with successively finer grades of alumina powder down to 0. 2 has been developed from a similar cell described in a previous paper [13]. was limited to 3 solution inlets. All operations are carried out tinder computer control. By acting on the corresponding solenoid valves. The inlet and the outlet for the solutions are placed on the side walls: for hydrodynamic reasons. The heart of the automated deposition apparatus is the distribution system. The whole system is clamped between two external plexiglass discs by means of three screws. Results 296 Electrochemical Society Proceedings Volume 99-9 . The inner volume of the cell is about 0. After polishing. the different solutions are pushed to the cell following the desired sequence. The solutions contained in the Pyrex reservoirs are previously deairated. that is at a pressure higher than that exerted by the spring. As a consequence. ltowever. Apart from the potentials of deposition. phyrophosphate is a strong complexing agent necessary to keep meat ions in solutions when using supporting electrolytes of pH as high as 11. is equal to 189 [IC cm' 2. Figure 4a shoxks the oxidative sulfur underpotential deposition from Na2S in ammonia buffer solution. associated with the anodic peak at E=-0. such as ammonia. 9. The lower pH. both bulk and underpotential deposition of the complexed metal are shifted towards potentials which are more negative than the potentials of deposition of the uncomplexed metal. simply prevents the observation of the whole UPD process of sulfur. a similar trend is observed for Cd.75 V. The charge associated Nwith the latter structure. yclic voltamlnograms in the figure were recorded in ammonia buffer of pH 9. 55 pC cm-'. the charge. As a matter of fact. calculated by assuming that the oxidation of oite sulfide ion involves Mto electrons. The choice of ammonia buffer instead of the pyrophosphate employed previously (rif CdS) was made to standardize the supporting electrolytes used for the growth of all cadmium and zinc chalcogenides. The calculated charge is in good agreement Nsith that determined by integration of the voltammetric peaks. The large anodic peak in the figure marks the transition from a (W/3x-'.3)R30° structure to a more compact I \17x 7)RI9.The formation of a compound fromn its constituent elements is an energetically favorable process. a weaker complexing agent. due to the anticipated hyd rogen evolutiion.2. of ammonia buffer employed. bulk Zn electroreduction takes place at about M-.83V Electrochemical Society Proceedings Volume 99-9 297 . This fact is clearly shown when comparing the underpotential deposition of zinc on a Ag(l 1I) substrate covered by the different chalcogens: the more negative the heat of formation. carried out by scanning the potential from -1. Thus. the use of ammonia buffer solutions partially obliterates the ITPD of sulfur: however.10 structure [6].15V and is scarcely influenced by the substrate used.15 to -0. zinc deposition from pyrophosphate solutions takes place at more negative potentials than those required for chalcogen deposition. the more positive the potential at which UPD occurs.. 3).2ý in this supporting electrolyte. The negative free energy change involved in the process is the principal reason for the occurrence of the UPD of Cd or Zn on the previously deposited sulfur. has been adopted. (Fig. Proceeding further towards more positive potentials in the presence of sulfide ions would cause bulk sulfur deposition. and vice-versa. As already stated. However. Figure 5a and 5b show the stripping peaks of I to 10 Zn layers and I to 20 Cd layers. 120 s have a constant height. CdS growth was obtained in a similar way. The second UPD peak cannot be completely recorded. The stripping peaks of Cd recorded after keeping the electrode at -0. and by then anodically stripping the deposit. Plots of 298 Electrochemical Society Proceedings Volume 99-9 .C cln-2 . and Figure 4c shows the similar Cd deposition. the remaining sulfur layers. with an intermediate step consisting of washing the cell with the supporting electrolyte to avoid any possible chemical reaction. behave like bulk sulftir: hence during the following reductive stripping they are reduced at more positive potentials than the first sulfur layer in contact with the silver substrate. and repeating this cycle as many times as desired. waiting 30 s to deposit Zn underpotentially. and subsequent cathodic stripping of the non. since the potential of the UPD of Cd on the bare Ag(1 11) substrate lies just in the middle of the two UPD's of Cd on Scovered Ag( lll). washing the cell. Thus. The second UPD peak cannot be ascribed to a deposition occurring on silver areas uncovered by sulfur. whereas Figure 5c shows the subsequent stripping curves of sulfiur relative to both metal sulfides.75 V to accumulate Cd on the electrode.75V from a Na2 S solution. thus ensuring that the process is surface-lilmited. washing the cell. The experimental charge measured by integration of both peaks is -2 equal to 180 pLC cm . 4c. This latter shows the beginning of a second tJPD peak. injecting the zinc solution while keeping the electrode at the same potential. it can be evidenced by keeping the electrode at -0. [he amount of the elements deposited in a given number of cycles was quantitatively determined from the charge involved in the anodic stripping of the metallic element. whereas the charge measured for the first UPD peak is equal to about 70 p. Figure 4 exemplifies the conditions for an ECALE cycle for both ZnS and CdS formation.75 V for 30. As a matter of fact. since it overlaps sulfur deposition.coincides with the charge measured for the corresponding peak obtained in solutions of higher pH.metallic element at a sweep-rate low enough to ensure the complete dissolution. Once all of the metallic element has been stripped anodically. except for the first. only slightly higher than that of Fig. ZnS growth was obtained by depositing sulfur at -0. 60. a single ECALE cycle restilts from the combination of the non-metallic element UPD with the IJPD of the metallic element. Figure 4b shows the reductive underpotential deposition of Zn on a S-covered Ag( IlI) substrate. Then. rhis measurement w\as repeated for Cd deposits obtained at different times of accumulation. 161. the larger potential shift exhibited by curve a clearly shows that the formation of CdS makes the Cd deposit more stable. values were plotted against the charge Q (Fig. obtained by integrating the peak. and curve b refers to bulk Cd deposited on Ag(lll)). 7b).. and the E.5 eV... the deposited Cd was stripped. The morphology of thicker CdS deposits was investigated by SEM. with the potential shift due to an increasing amount of cadmium deposited as metallic Cd. where the rate of electroreduction of cadmium ions is still low enough to produce homogeneous deposits. Apart fr-om the different values of potentials (curve a refers to Cd underpotentially deposited on Scovered Ag(Ill).. E.2 eV. These results confirm XPS studies carried out on a sample of 50 deposition cycles: the binding energy of sulfur peak. 161. with a slope of 2 67 pC cm-• for Zn and 75 pC cin-2 for S. It is interesting to compare the potential shift due to the same amount of cadmium deposited as CdS. Similarly. Q. A similar plot for Zn shows that the potential shift observed for E.. and the height of sulfur and cadmium peaks gives the expected 1:1 stoichiometric ratio. E of the anodic peak wvas measured against the charge. as well as in the correspondence of the clusters observed on the deposit. and the potential. against the charge obtained by integrating the peaks. plots of the charges for Zn and S measured in the stripping of ZnS deposits are linear. Figure 8 shows scanning electronic micrographs of different magnifications of a sample formed with 110 deposition cycles. is very close to that of CdS. Note that the peaks for both Zn and Cd strippings shift towards more positive potentials when the number of cycles increases. with a slope of 70 pC cm-2 (Fig. always yielded CdS in the 1:1 stoichiometric ratio. EDAX analysis performed on the more homogeneous regions. This latter potential shift was determined by depositing bulk Cd on Ag( 11) aLt E=-O. which can be explained by the fact that the heat of formation of ZnS is more negative than that of CdS.8V. 7a is the plot of the peak potentials. Electrochemical Society Proceedings Volume 99-9 299 . Curve a in Fig. 6).a of zinc deposited as ZnS is significantly higher than that observed for cadniulu.the charges for Cd and S measured in the stripping of CdS deposits as a function of the number of cycles are linear.. They reported a (3x3) structure with a Cd-Cd distance of 4. The atomic density of this plane. whereas at the potential chosen for deposition on Ag( I1l) it forms a 300 Electrochemical Society Proceedings Volume 99-9 . about a half. associated with each layer of Cd and S (except for the first Cd and S layers). 0) plane of wurtzite.3 A for the Cd layer on top of the S layer deposited on Au( 111 ). and the distances Cd-Cd and S-S. as deduced by STM measurements seems to rule out that this structure could correspond to the basal planes of both wurtzite or zincblende. Incidentally. This would also be indicated by the low coverage of CdS deposit. More detailed structural investigation by XPD are now in progress.Conclusions The linear behaviour of plots in Fig. and that difference cannot be ascribed to a difference in lattice constants of Ag and Au since they are practically identical. we thought that the difference could be ascribed to the different structure of the S layer in contact with the metallic substrate. In fact the S layer on Au 111 ) forms a ('13x•i3 )R30° structure with a coverage of 1/3. specifically the sulfur layer on top of the first cadmium layer (the first sulfur layer deposited on the bare silver substrate has a different structure with an associated charge of 189 itC cn-2). The high value. in the case of CdS. vhich is just I/3 of that corresponding to both basal planes. This structure is much more compact than ours. The charge value of 70 ptC cm-2 . 0) plane of CdS was indicated as one of the possible orientations of CdS grown by SILAR on such substrates as InP( I ll). for Cd-Cd and S-S distances. corresponds to a coverage of 1/3 when referred to the basal plane of both wurtzite or zinc blende. the (I 1 . Thus. by EDAX and XPS measurements. 6 suggests a layer-by-layer growth and involves a stoichiometric ratio between the elements as was expected for the formation of the compound and as was confirmed. Finally. could indicate that the crystallographic plane would be formed every two deposition cycles. GaAs(00 I ) and Ge( 110) [ 14]. 7. This experimental charge is in good agreement with the charge.6 A. it is interesting to compare our results on CdS deposition with the corresponding results obtained on Au( lll) by Demir and Shannon on tile basis of STM measurements [15]. Some preliminary structural investigations by X-ray photoelectron diffraction (XPD) technique would indicate a growth along the (1 1 . 64 ptC cmnf associated to tile structure revealed by STM images [7] for the second layer of sulfur. about double that shown by STM images. Acknowledgments The authors are grateful to Mr. Francesco (iualchieri for their contribution to the set up of the automated deposition system. Unfortunately. thus denoting a higher affinity of S for Ag than for An. Thus.9V results in the complete dissolution of the first layer. we tried to grow CdS starting from this less compact S structure. since the more compact structure is reduced at more positive potentials. and Mr. -0. the less compact structure undergoes a transformation. This latter structure corresponds to the coverage of 3/7 and is therefore much more compr messed. Ferdinando Capolupo for the preparation of the silver single crystal electrodes. The financial SuppOrt of the Italian CNR and of the Murst is gratefully aclknowledged.9V.( q7x N7)R 19. as the (U3xV3)R30° structure is also observed for S on Ag( Ill) at more negative potentials. Thus. the attempt of depositing a further layer of S at E=-0. We wondered if the different structures of S could influence differently the epitaxial growth of the subsequent Cd layer. 1 structure with each lattice site occupied by a triplet of sulfur atom. Electrochemical Society Proceedings Volume 99-9 301 . Andrea Pozzi and Mr. probably forming islands of the more compact structure. when the potential is moved to more positive values for Cd deposition. Huang.. P. Doubova and S.. S. E. M. E. 7413 (1998). M. Stickney. Foresti. 2915 (1990). 543 (1991). C K. akinil. Electroanal. 10. Stickney. . Electroanal. M.1. p. ('hem. A.Phys. L. S. (I. Hamelin. White. Y. Electroanal. New York (1985). ..J Electrocheo. Stickney. D. 101. F. D. 451. 331 (3) (4) (5) (6) (i. Thomas and J. ('hem... L. Foresti. White and J.L. ('hemn (1996). in press. Aloisi. Colletti. Res. Gregory. Aloisi. S. W. Guidelli. Innocent. M. 9480 (1996). . 369. (iuidelli. Phys. J.. M himocenti and R. Hatchett. W.Chem. M. Trasatti. Pezzatini. Stoicoviciu. 138. Colletti. Slaughter and J. Iti. J. Thomas. W. Brunei. R. Mater. Demir and C. . Caporali. Colletti. Stickney. "Formation of ZnSe on Ag(l 11) by Electrochemical ALE". 235 (1997).References (1) (2) B. L.E. Boone and C. 5/21 1997. Phs. Cavallini. Plenum Press. I-latchett and H. 100.J. L. Journal of the SID. Gao. W. A. Chem.J. 300. Gi. Catron and H.PD DI S) D. L. 244. Pezzatini. 133 (1988). Dupuy and M. L. (7) (8) (9) (10) (11) (12) (13) (14) (15) (16) 302 Electrochemical Society Proceedings Volume 99-9 . S. L. (hem B.. Conway.BE. Eleciroanol. G.. 16.E. Chemn. Teklay and J. Bockris editors. White. Phe. Symp. S. Suggs and J. Langinuir 10. L. Soc. Wilmer. Soc. Chem. B. Proc. J1. J. 100. Soc. W. 1. L. Wilmer and J. 100. Shainon. Phys. Stickley. D. Shannon. G. 283 (1995). Pezzatini and R. Nicolau.. R. X. 1279 (1991). Rhee. W. M. M. L. 4774 (1997) M. 2794 (1994). Stickney. Processes. B 102. Foresti.O'M. vol. 137. 9854 (1996). 145 (1994).('hem. .s. M. L.. M. Iunocenti and M. B. U. L. S. Gregory and J. in Modern Aspects of Elecirochenmistiy. P. Cavallini. D. B. G. Hamelin. P. Electrocheno. L. Fleetroehienlical floxs-cell. Electrochemiical Society Proceedings Volume 99-9 303 .Diagramn of the distribution valve. that is when the corresponding solenoid valve is opened. S. v inlte 2 ii *plexiglass seefl to the reference electrode gl gl one one disk lcrd lcrd o -ring soluton ntlet'/. A given solution is pushed to the cell when the piston is raised by the pressure exerted by compressed air. compressed air ontiiI 3 Compressed sir .* 2. 2 and 3 denote the solenoid \alves. 1. n.V.Figure I .~ solution working electrode peilass disk Figure 2 . 304 Electrochemical Society Proceedings Volume 99-9 .2 ammonia buffer solution on Ag( 111) covered by S (a).C 0- I I I I -1.6 -0.8 -0. Se (b) and Te (c).4 -0.9. The scan rate is 40 mV s-1.5mM ZnSO 4 in a p1.2 E / V(SCE) Figure 3 Cyclic voltammograms of ZntpD obtained from 0.2 -1 -0. 4 -0.4 5 0 li b b -1.54A 0 a -0. as -1.6 E / V(SCE) -0. as recorded from -0.5amM Na 2 S.5 mM CdSO 4. (c) Reductive underpotential deposition of Cd on a S-covered Ag(1 11) from 0. The scan rate is 40 mV s".5 mM ZnSO 4 .6 E / V(SCE) -0.75 V. as recorded from -0.0 -0.8 -0.8 recorded friom -1.(a) Oxidative underpotential deposition of S on Ag(l 11) from 0.A C 0.75 V.0 -0.2 0.3 to -0.2 -0.2 anmmonia butler solution.0 - 2 -1. All precursors were dissolved in a pH 9. Electrochemical Society Proceedings Volume 99-9 305 .4 -0.6 E / V(SCE) Figure 4 .2 -1.8 -0.75 V.2 j 00 S5 l. (b) Reductive underpotential deposition of Zn on a S- covered Ag(l11) from 0.0 -0.2 -1.0 -08. 15 to -0.15 to -0. 6 -0.4 E/V(SCE) -0. 7. 20 Cd layers (b) and the reductive strippings of2. 4. 13. 1.6 / r 4 -0.4 E/V(SCE) Figure 5 .o 306 Electrochemical Society Proceedings Volume 99-9 .3.a 50 pA cm 2 a -0'.2 0.8 -0.8 -0.8 -0.6. 5. 8. The scan rate is 10 mnV s"'.6 -0. 10 Zn layers (a).0 I50 pA cm~ -0. 12.0 0b -0. 21 S la) ers (c).5. 9.2 0.Linear sweep voltammograrns for the oxidative strippings of 1.2 0. 15. 9. -0.3. 16. 55 S-0.2 -0. (b) The corresponding plot deposited as metallic CU here.25 0 .0.Plots of the charge involved in the oxidative stripping of Cd reductive stripping ofS ( ) as a function of the number of ECALE cycles.7 . for cadmium against the charge involved in the stripping. -0..5 -0.(a) Plot of the peak potential for the stripping of cadmium deposited as CdS. the different amounts of cadmium were obtained by depositing bulk cadmium at different times of accumulation. Electrocheemical Society Proceedings Volume 99-9 307 .15 -0.4000 1 1 1 1 1 0 3000 U 2000 1000 0 10 20 30 40 50 60 ) and the number of cycles Figure 6 .L-__ 1000 Q / gC cm-2 Epa.05 U -0.15 -0.75 1500 500 Figure 7 . 0 I -0.65 ¢ -0. 308 Electrochemical Society Proceedings Volume 99-9 . 1.2 m i n the order of increasing magnification. 5.Figure 8 . Marks in the figure correspond to 20.SEM micrographs of different magnifications of a 110 cycle CdS deposit.0. 1617 Cole Blvd. W. In. Bhattacharya. and Se were added to the precursor films by physical evaporation to adjust the final composition to CuInl.8%) prepared in our research laboratory 2 is based on a multistep process using PVD of the elements. and R. N. The PVD technique is difficult to scale up because of film non- Electrochemical Society Proceedings Volume 99-9 309 . Additional In.Culnl. The high material and processing costs make these modules relatively expensive. At present. and again. The modules prepared from thin-film semiconductor materials are expected to lower costs by significantly reducing the material and processing costs. A. INTRODUCTION Photovoltaic solar cells are a very attractive source of energy.Ga1_.Ga. the photovoltaic industry primarily uses wafers of single-crystal and polycrystalline silicon. Keane. J. electronprobe microanalysis. 1. J. Mason. Thin-film CIGS devices have already exhibited a performance efficiency of 18.8%.Se 2. Ga. In the one-step process. As-deposited precursors are Cu-rich films and polycrystalline or amorphous in nature. The thickness of the films can be as little as a few microns. Golden. Alleman.and 12. which generally have a wafer thickness of > 150 gtm. and electrodeposition.4%-efficient CuIn. The films/devices have been characterized by inductive-coupled plasma spectrometry. for example.4%. USA ABSTRACT We have fabricated 15. the CIGS thin film is grown by simultaneous codeposition of Cu. Cu-Se in the second step. The development of photovoltaic device structures based on CuIn. and Se. Batchelor. and spectral response. The techniques used for one-step processes are physical vapor deposition. current-voltage characteristics. Noufi National Renewable Energy Laboratory. the deposition of precursor films of In-GaSe in the first step.' which in turn permits the use of thin layers (1-2 [tm) of active material.. chemical deposition.xGaxSe 2 (CIGS)based devices from electrodeposited and electroless deposited precursors. Auger electron spectroscopy. Ga. the techniques used for multistep processes are physical vapor deposition (PVD) and sputtering. The wafers must go through several processing steps and then be integrated into a module. The multistep process involves.2 Several research groups 3-7 have prepared device-quality CIGS by using either one-step or multistep processes.Se 2 (CIGS) has advanced rapidly during the last few years.xGaxSe 2-BASED PHOTOVOLTAIC CELLS FROM ELECTRODEPOSITED AND ELECTROLESS DEPOSITED PRECURSORS R.. CO 80401. the deposition of In-Ga-Se in the final stage. and also allows the use of a material with a modest diffusion length. The direct energy gap of CIGS results in a large optical absorption coefficient. The recent record-breaking high-efficiency device (18. To date. N. X-ray diffraction.. Addition of In and Ga and also selenization at high temperature are very crucial to obtaining high efficiency devices. CIGS solar cells are also known for their long-term stability. The EL method is based on short-circuiting the conducting Mo substrate to an easily oxidizable redox component (e. and the substrate was Mo/glass. The as-deposited precursors are Cu-rich CIGS films. electronprobe microanalysis (EPMA).7-1 M LiCl dissolved in deionized water. Additional In. Sputtering techniques are suitable for large-area deposition. Bilayer Ni/AI top contacts were deposited in an e-beam system. The ED and EL deposition experiments were performed at room temperature (24QC) and without stirring.06 M InCI3 . Ga.01-0. non-vacuum electrodeposition (ED) and electroless deposition (EL) techniques with a potential to prepare large-area uniform precursor films using low-cost source materials and low-cost capital equipment are very attractive for the growth of CIGS layers for photovoltaic applications.03 M H2SeO 3. The films were electroplated by applying a constant potential of -1. The Mo film on glass substrate was about I gtm thick and was deposited by DC sputtering.05 M CuCI 2. and spectral response.4% and 12.uniformity and low material utilization.080. 2.0 V. The device fabricated using ED and EL CIGS precursors resulted in an efficiency of 15.4%. The final device configuration for all devices is MgF 2/ZnO/CdS/Culnl. 0. 0Ga 0Se 2/Mo. EXPERIMENTAL Codepositions of Cu-In-Ga-Se by the ED and EL processes were performed from a bath containing 0. The films were also selenized by exposure to selenium vapor during the cool-down time (-40°C/min). Fe) in the electrolyte bath. Photovoltaic devices were completed by chemical-bath deposition of about 50 nm CdS. Auger electron spectroscopy (AES). A Princeton Applied Research potentiostat/galvanostat Model 273 A with an IBM PC AT computer interface was used for the preparation of ED precursor films. The films were deposited in a vertical cell in which the electrodes (both working and counter) were suspended vertically from the top of the cell.1 M GaC13 and 0. 310 Electrochemical Society Proceedings Volume 99-9 . The deposited films were rinsed with deionized water and dried in flowing N2 . 0. The substrate (precursor film) temperature during the PVD step was 5600 ± 10°C. respectively. they require expensive vacuum equipment and sputtering targets. and 0. the counter electrode was a Pt gauze. The final step in the fabrication sequence is the deposition of an antireflection coating (100 nm MgF 2). Therefore. and Se were added to the precursor films by PVD to adjust the final composition to Culnl.04-0.. followed by radiofrequency (RF) sputtering of 50 nm of intrinsic ZnO.xGaxSe 2. X-ray diffraction.g.02-0. Addition of In and Ga and also selenization at high temperature are very crucial to obtaining high-efficiency devices. however. and 350 nm of A12 0 3-doped conducting ZnO. current-voltage characteristics. The films and devices were characterized by inductive-coupled plasma spectrometry (ICP). The ED precursors were prepared by using a threeelectrode cell in which the reference electrode was Pt (pseudo-reference). are CuIn072Ga0 7Se 2.447 [4] Cu+ + e .32Ga 0 01Se0 93 and CuIno.Cu Cu 2÷ + 2e -.5 gim.Ga Cu.3 V. the overpotential.2) on cathode is most likely caused by the combination of electrochemical and chemical reactions as follows: M"++ne -4 M H2 SeO 3 + 4H+ + 4e -.o and Culn 0 83Ga022Se1 93.40 and 0. respectively. The 4 5 Ga/(ln+Ga) ratios are 0.35Gao01Seo99.Cu In3+ + 3e -. respectively. The composition of the EL precursor film was adjusted by adding about the 2500 A Ga and 5800 A In by PVD step. The rest potential of the deposition bath solution is about 0.521 0.366 CuxInyGa 2 Se. The final compositions of the CIGS absorber films prepared from ED and EL precursors.549 -0.In Ga3+ + 3e -.Se + 6 OH' -- 0.342 -0. as determined by ICP and electron-probe microanalysis. The counter-electrode (Fe) initiates the electrochemical reaction. Se SeO3 2 + 3H 20 + 4e -.Se + 3H 20 > xM + ySe ". Ga.3. respectively. The applied potential (E) during codeposition of Cu-In-Ga-Se using Fe electrode is (0. The thickness of the ED and EL precursor films was about 2. The composition of the as-deposited ED and EL precursors precursor as analyzed by ICP was CuIn 0.338 -0. Electrochemical Society Proceedings Volume 99-9 311 .2 and 1. which probably make the Ga deposition possible. and the ohmic potential drop (iR) in the solution.MxSey (1) (2) (3) The electroless process for preparing CIGS precursor films is accomplished by the combination of electrochemical and chemical reactions. In. The electroless deposition of CIGS is most likely caused as follows: E vs SHE Fe -- Fe2+ + 2e -0. respectively. RESULTS AND DISCUSSIONS The electrodeposition of CIGS films (pH . Chemical reaction [5] [6] [7] [8] [9] [10] The required reduction potential of Ga is higher than the oxidation potential of Fe electrode. The deposition potential is composed of the equilibrium reduction potentials (Eeq).447 + rest potential).20 for the ED and EL cells. The composition of the ED precursor firn was adjusted by adding about 3000 A Ga and 7200 A In by PVD step. lb. SEM photoghaph of the absorber layer prepared from ED precursor film Fig. SEM photograph of the electrodeposited CIGS precursor film Fig. 1d.Fig. SEM photoghraph of the electroless CIGS precursor film Fig. la. 1c. SEM photohgaph of the absorber layer prepared from EL precursor film 312 Electrochemical Society Proceedings Volume 99-9 . The AES depth profile analysis shows a non-uniform distribution of Ga concentrations in the film. 2. The International Center for Diffraction Data card number used for the identification of CIGS X-ray peaks is 40-1487. Identical results are obtained from the electrodeposited precursor films. respectively. 10 SO0 0 Se In !C tu S rSe -10 Se In -20x10 0 Ga 0 C Se 400 800 1200 Kinetic Energy (eV) Fig. The main purpose of the survey was to find whether the EL-deposited film is being contaminated by an Fe counter electrode.8 Figures 4a and 4b show the compositional AES depth profile analysis data of the absorber films prepared from ED and EL precursor films. The SEM photographs reveal that EL precursor film has a much rougher surface compared with the ED precursor film. The absorber layer prepared from ED precursor film has relatively more uniform distribution of Ga concentrations compared with the absorber layer prepared from the EL Electrochemical Society Proceedings Volume 99-9 3 13 . Figure 3 shows X-ray diffraction data of the absorber CIGS film prepared from ED and EL precursor films after compositional adjustment. but does show the presence of significant amount of oxygen. respectively. The as-deposited films were amorphous or polycrystalline in nature.Figures Ia and lb show the SEM photographs of the ED and EL precursor films. I . The absorber layer prepared from the ED precursor film is relatively more dense and crystalline in nature. Figures lc and Id show the SEM photograph of the absorber layers prepared from ED and EL precursor films. Figure 2 shows the AES survay scan of EL precursor films. 20 I20 I . AES survey of electroless precursor film (after I-min sputter etch). The survey does not show any Fe contamination. The absorber film after final film composition adjustment shows only the CIGS phase. 09 eV [Ga/(In+Ga) = 0.4] and 1.4% and 12. 5c (ED device) and Fig. CIGS ED-absorber (220/204)CIGS (312/116) 10 5 "EL-absorber CIGS (2 20 / 20 4 ýIGS (312/116) 0 .5 spectrum (ASTM E 892-87 Global) in which the intensity of illumination was 1000 W/m 2. respectively.20x10 3 Mo (110) CIGS (1 2) 15 •'.4%. 3. which facilitates electron collection. We expect to improve the device efficiencies by optimizing Ga distribution in the absorber layers. The quantum efficiencies of the cells under illumination (1000 W/m 2) is shown in Fig. The optimized layers should have less Ga in the front and more Ga on the back. xGaxSe 2/Mo. The final device configuration for all devices is MgF 2/ZnO/CdS/CuInl. Eg. 5d (EL device). of the ED and EL cells are 1.. Figures 5a and. The I-V characterization was carried out at AMI. X-ray diffraction data of the absorber layers prepared from ED and EL precursor films (Y-axis for ED-absorber is offset by 10000) precursor film.. The Ga hump is not helpful for the electron collection mechanism. 10 20 30 40 50 60 700 2 Theta (degrees) Fig. respectively.20 eV [Ga/(In+Ga) = 0. The solar cells made from the ED and EL precursor materials have device efficiencies of 15. These figures indicate the bandgaps. 314 Electrochemical Society Proceedings Volume 99-9 .2].5b show the current density-vs.-voltage (J-V) curves of the devices prepared from ED and EL precursor films. 4a.2 0 ___________________________ 0.II I I I I 100 + Cu 0 - 1.8 0.4 0.0 5 0 Sputter Depth (jim) Fig.8 80 a * o e 60 Se - 0. Auger analysis data of the absorber layer prepared from EL precursor film Electrochemical Society Proceedings Volume 99-9 315 .0 0.2 0 _ _t t 1 2 3 t 4 _ 0. Auger analysis data of the absorber layer prepared from ED precursor film 100 80CU Se *Se A In 0 'Ga/(In+Ga)' 0 1. 4b.0 Ga Se In 'Ga/(In+Ga)' 0. _G/(In+GA) G0.4 --- I n 20 -IIn • 0.0 0 1 2 3 4 5 Sputter Depth (gim) Fig.6 S 60 S40- .6 + + Io 20 Cu In ' "Ga/(In+Ga)--- 0. Fill Factor 66. 16. = 0. . 0 = 'Sc Fig. I0. = 33.25 0.50 Voltage (V) Voltage (V) Fig. 5b. Fill Factor 75.666 V.76 Js.4%) 12.50 0.00 0. Efficiency = 15.14 .90 mA. 0. 5d.00 .10%. 5c.4%) 100O [ 80_ S60 - tft 100 80 S 60 • 40 S40 S20 . Quantum-efficiency data of an EL device 316 Electrochemical Society Proceedings Volume 99-9 . I-V characteristics of an ED device (Vo = 0. 0. T-.51 mA/cm 2 .27 mA/cm 2. Quantum-efficiency data of an ED device Fig.565 V. Jsc =30.= 13.25 0. 12 10 8 S 6 21 4 8 C- 4 2 . mA..20 og 0 200 1000 500 1000 Wavelength (nm) Wavelength (nm) Fig. 5a.. Efflicency = = 12. I-V characteristics of an EL device (V.56%. I1. Res. K. Hiltner. Phys. T. Phys. H. Apple. Wiesner. 70. Sugiyama.. Soc. Keane. and G. D. I. Phys. Matson. A. 62. Emery. Tuttle. Berens. Contreras. J. T. Egaas. M. H. L. Batchelor. Takeshita. Electrochemical Society Proceedings Volume 99-9 317 . 145. I. The quality of CIGS-based films and devices prepared from ED precursors is very promising. J. E. F. 5. J. N. Birkmire. and R. Nagoya. Joseph & Negley (California Corporation. J Appl. Hasoon. 8. J. 6. J.4%.. Department of Energy under contract DE-AC36-98-GO10337. 3435 (1998). Rockett and R. D. A. Ward. Negami. J. fast. Keane. M. and R. Kase. W. Duda. Okumura. A. Kohara. M. D.S. Solar Energy Materialsand Solar Cells 49. Granata. J. A. Soc. 2. M. The device fabricated using ED precursor layers resulted in efficiencies as high as 15. 277 (1997). Schock. 34. and low-cost methods for solar-cell absorber fabrication. J. and they can synthesize binary or multinary precursors for subsequent processing into high-quality CIGS thinfilm absorbers for solar cells. Contreras. B. A. ACKNOWLEDGMENTS This work was supported by Davis. Tachiyuki. E. Hasoon. Sugiyama. R. Jpn. Swartlander. Alleman. Yamase. 0. Mason. K. L. R. and R.. J. Tennant.CONCLUSION The ED and EL deposition processes are simple and fast. Lett. S. Nishitani. 7. J. J. 143 (1996). K. 4. Noufi. This may lead to novel. Y. Cole. K. L 1141 (1995). K. Mat. Ramanathan. W. 426. Kessler. Kushiya. Ramanathan. (1999). A. 22. M. A. Symp.July. Work-forOthers Contract No. Noufi. K. N. 578 (1989). Proc. and T. Chanda. REFERENCES I. R81 (1991). F. Satoh. Noufi. Stolt. W. R. M. 597 (1993). Appl. A. Crystallogr. Wada.O. Suri. T. N.. and H. Progressin Photovoltaics. Heldstrom. K. R. Ramanathan. 3. Bhattacharya. Apple. Nagpal. Velthaus. 1326) and also by the U. Ruckh. J. J Electrochem. ELECTROCHEMICAL DEPOSITION OF GOLD ON N-TYPE SILICON Gerko Oskam and Peter C. Gold films were prepared by nucleation at a potential where the nucleus density is high. metal layers are deposited in many production steps and is often achieved through sputtering or vapor deposition. In order to obtain continuous. we report on the mechanisms of nucleation and growth of gold onto ntype silicon using electrochemical techniques such as current-potential curves and current transients. and good conformal deposition onto structures of complex geometry. 318 Electrochemical Society Proceedings Volume 99-9 . and imaging techniques such as scanning electron microscopy and transmission electron microscopy. MD 21218 The electrochemical deposition of gold on n-type silicon from KAu(CN) 2 solutions was investigated by performing a detailed study of the nucleation and growth kinetics. Searson Department of Materials Science and Engineering The Johns Hopkins University Baltimore.8). The films were continuous and polycrystalline with a <111> texture. We show that knowledge of the nucleation and growth mechanisms can be applied to determine the experimental conditions for the deposition of high quality metal films onto silicon. continuous metal films onto semiconductor surfaces has been largely overlooked. and copper metallization (1-6). In the fabrication of chips. INTRODUCTION Semiconductor / metal junctions have wide applications in electronic devices either as a Schottky junction or an ohmic contact. which has been exploited by depositing metal islands that act as catalyst to specific charge transfer reactions (7. high deposition rate. adherent metal films on semiconductors or other nonmetallic layers. electrochemical deposition techniques have several advantages including low cost. In many cases. deposition of the metal proceeds through three dimensional island nucleation and growth. In this paper. Electrochemical metal deposition onto metals and other conducting materials is used in a variety of applications. and the electrical properties of the Si/Au Schottky junctions were comparable to junctions prepared by evaporation. Deposition occurs by progressive nucleation and diffusion limited growth of 3-D hemispherical islands over a wide range of potentials and KAu(CN)2 concentrations. Although the deposition of thin. through-hole plating. multilayer read/write heads. followed by growth under kinetic control. the influence of deposition mechanisms on the film properties must be determined in order to develop a method for the formation of high quality films. including printed circuit boards. The samples were flash-coated with carbon before loading into the chamber. and water. the counter electrode consisted of a platinum gauze. It can be seen that the acceptor levels of AuCI 4 " have an overlap with the silicon valence band. As a consequence. Therefore.82 V(Ag/AgCI) (13). Current-potential and current transient experiments were performed using a EG&G PAR 273 potentiostat and Corrware software. silicon is oxidized in the process and the deposition process is self-limiting. Scanning electron microscopy was performed on an AMRAY 1860 FE at an acceleration voltage of 5 kV. The samples were then mounted in a clamp-on cell with an o-ring. AG) with a resistivity of 3 Q cm (ND = 1x10 15 cm-Y). which can be adjusted by the applied potential. in this case gold deposition is expected to occur by charge transfer from the conduction band to the solution: Au(CN)2" + e-(CB) -4 Au + 2 CN- [] The equilibrium potential.]2 } The density of conduction band electrons at the surface is dependent on the band bending. the geometric surface area was 2. However. Atomic Force Microscopy (AFM) was performed using a Topometrix Discoverer system.059 log {[Au(CN) 2. The experiments were performed in ambient conditions. and the two most commonly used redox couples for the deposition of gold are shown. Hence. however.EXPERIMENTAL All experiments were performed on (100) n-type silicon (Wacker Siltronic. The water was distilled and deionized (Millipore) and had a resistivity of 18 MQ cm. good films have not been obtained in this solution (9). Electrochemical Society Proceedings Volume 99-9 319 . the flat band potential for n-Si(100) is about -1. All potentials reported in this paper are given with respect to the Ag/AgCI reference. Experiments on the Si/Au junctions were performed on a Solartron ECI 1286 and a FRA 1255. is given by: [2] Ueq = U0 eq + 0. The energetic position of the silicon band edges is dependent on the pH. gold is deposited under open circuit conditions by the displacement mechanism.8 cm 2 in all cases.]/[CN. The reference electrode was Ag/AgCI in 3 M NaCI and was positioned close to the silicon sample using a Luggin capillary. Ueq. the deposition rate can be controlled by means of the applied potential. In the pH 14 solution. The ohmic contact was provided by applying InGa eutectic on the back side after treatment in 48% HF for 10 s. The standard equilibrium potential for the Au/Au(CN)2" redox couple is considerably more negative than for AuCI 4 " at -0. There are various ways to circumvent these problems. the experiments were done in the dark. Prior to experiments the samples were sequentially cleaned ultrasonically for 10 minutes in acetone.1 V(Ag/AgCl) (10-12). ethanol. RESULTS AND DISCUSSION Figure 1 shows an energy band diagram for silicon in aqueous solutions at pH 14 and pH 1. Curves (a). The onset of gold deposition in the third sweep is about 0. On the reverse sweeps.25 V.78 V. and a current peak is observed at -1.04 M KCN at pH 14.008 M KCN in 1 M KOH.potential curves for n-type silicon (100) in 1 M KOH solutions with various concentrations of KCN. The observation of a peak in the current .2 M.9 V.1 M KCN. hence. the current . The cathodic current plateau at about -1. At about -1. gold deposition continues to about -1. This is caused by two effects: (i) in the dark. The potential range where gold deposition and hydrogen evolution take place is negative with respect to the flat band potential.potential curves (b-f) for 5 solutions with 2 mM KAu(CN) 2 + 1 M KOH with different concentrations of KCN. a significant anodic current is not observed which is due to the rectifying properties of the n-type silicon / solution interface. At hydrogen evolution currents smaller than I mA cm. hence.Current . Curves (d) and (e) correspond to the first and third scan in 50 mM KAu(CN) 2 + I M KCN + I M KOH.potential characteristics Figure 2 shows current .5 mA cm-2 in the range from -1. Note that the surface electron concentration in this case is still several orders of magnitude lower than at metal surfaces. (ii) the barrier height of the n-Si/Au contact is large.65 V the current increases again due to hydrogen evolution. respectively. In the solutions containing KAu(CN) 2 .potential curve indicates that the deposition of gold becomes diffusion limited after nucleation has occurred.4 V to more positive potentials with respect to hydrogen evolution at the silicon surface. and with and without 50 mM KAu(CN) 2 . the density of holes in the valence band is very low so that the oxidation rate due to valence band holes is low and.85 V to -2 V is related to high cyanide concentrations and disappears for concentrations lower than 0. with different equilibrium potentials for the Au(CN) 2 / Au redox couple. which may have a significant effect on the deposition characteristics. hydrogen evolution occurs preferentially at the gold clusters since the curve is shifted by about 0. and 0. It can be seen that the gold deposition peak shifts to more negative potential with 320 Electrochemical Society Proceedings Volume 99-9 . and (c) correspond to I M.30 V with a maximum of-7. Hence. The KCN concentration was varied from 2 M (curve b). In the absence of KAu(CN)Z. the silicon is expected to be in accumulation and the electron density at the surface is higher than in the bulk.2 mA cm. Figure 3 shows current . hence. In this case. The scan rate was 10 mV s-I in all cases. gold cannot be oxidized since the energy barrier for electron transfer from the gold to the conduction band is large. a current corresponding to the reduction of Au(CN) 2 is observed in the first scan with an onset at about -1. to 0.1.2 . Curve a corresponds to the current . the presence of cyanide results in a suppression of hydrogen evolution probably due to adsorption of cyanide.2 V more positive than in the first scan and subsequent sweeps are the same. 0. indicating that a steady state situation is reached.2 .potential characteristics in 0.10 V and a stripping current is not observed indicating that gold deposition on n-type silicon is not reversible. which suggests that the silicon band edges do not shift as a function of the cyanide concentration. a large cathodic current due to hydrogen evolution is observed at potentials more negative than about . (b).02 M (curve e) which corresponds to a redox potential of -0.potential curves are independent of the cyanide concentration. In all cases. corresponding to a redox potential of-l.01 V. The shift of the onset potential for deposition at silicon covered with gold as compared to bare silicon indicates that a nucleation overpotential is required for the nucleation of gold on the silicon surface. indicating that the open circuit potential is not defined by the potential of the gold redox couple. The density of active sites corresponds to the total number of possible sites for nucleation. Nucleation of a metal on a foreign substrate is generally assumed to take place at active sites on the surface. This indicates that nucleation of gold does not takes place at OCP. The initial open circuit potential is essentially independent of the concentration ratio. is usually described in terms of a growth law with a nucleation rate constant. or other surface defects (14-18). Note that the reaction is not expected to be reversible since the gold is only connected to the external circuit via the silicon wafer.more negative redox potentials. the activation energy for nucleation may vary. The first case corresponds to instantaneous nucleation and the second case refers to progressive nucleation. but is controlled by the interaction between the silicon surface and the aqueous solution at pH 14 (10. The peak current is about a factor 21 smaller than in the 50 mM KAu(CN) 2 solution which shows that the current at the maximum is essentially proportional to the KAu(CN) 2 concentration Figure 4 shows the equilibrium potential.1 at short times then N(t) = AN 0 t. kinks. and the open circuit potential after the first scan as a function of the concentration ratio [Au(CN) 2 -]/[CN-] 2 . is linear with the concentration ratio with a slope of 59 mV per decade. Upon applying a potential step from an initial potential where the nucleation rate is negligible to a fixed overpotential. This indicates that the current maximum represents an intermediate case where the current is not completely determined by the energetics of the redox couple but also not by the surface energetics of the silicon. the open circuit potential (OCP) before the first scan. The potential at the current maximum is linear with the concentration ratio with a slope of about 43 mV per decade. This indicates that after gold deposition. where approximately 20 equivalent monolayers gold are present on the surface. The open circuit potential after the first scan. The density of nuclei as a function of time at a constant potential. if A is small and At .exp (-At)} 13] From equation [3] two limiting cases can be identified. Current transients The nucleation and growth mechanisms can be determined using current transient techniques. If A is large and At » I at short times then N(t) = No immediately after the pulse. A: N(t) = No {1 . the potential at the current peak. such as steps. which can lead to a potential dependence of the number of utilized active sites. which is consistent with the observation that a nucleation overpotential is required in order to deposit gold onto the silicon surface. and is close to the gold redox potential.35 mA cm-2 . while the maximum current is independent of the redox potential at about -0. the open circuit potential is determined by the equilibrium of the Au(CN) 2 / Au couple. Electrochemical Society Proceedings Volume 99-9 321 . N(t). The equilibrium potential shifts with 59 mV per decade according to equation [2] (13).11). Conversely. the formation of stable nuclei and their growth can be observed directly by monitoring the current. N0 . Depending of the nature of the site. and the density of nuclei increases linearly with time. From Figure 3 it can be seen that at times shorter than about 0.potential curve shown in Figure 3 (curve (d)) where the onset of hydrogen evolution on a partly gold-covered surface is observed at about -1. Gold clusters were nucleated for different times at -1. Figure 5 shows the nucleus density as a function of time for deposition from 2 mM KAu(CN) 2 + 0.2 M KCN (pH 14) solution. it is observed that diffusion of metal ions to the surface is rate limiting. From Figure 5 it can be seen that no further nucleation occurs at -1. The current initially increases with time due to 3-D diffusion to an increasing metal surface area. At -1. As the growth becomes diffusion limited. and the time at which the maximum current is observed. and linear diffusion to the planar surface may occur before nuclei impinge on each other. In all cases. and then decreases as the diffusion zones around the growing nuclei start to overlap resulting in a 1-D diffusion limited current to a planar surface. The time dependent deposition current density (normalized on the geometric surface area). tnax : 2 i / i.9 s the nucleus density increases linearly with time corresponding to progressive nucleation.3367(t2/t~a) [5] 322 Electrochemical Society Proceedings Volume 99-9 .The growth of nuclei can be either kinetically or diffusion limited or under mixed control. imax. At long times. for progressive nucleation followed by three dimensional diffusion limited growth is (18): i(t) =z F D ' c it"t-1/2 I-exp(-2A Norlt t2 f8t cVmlr) [4] where D is the diffusion coefficient. Figure 6 shows current transients for potential steps from the open circuit potential to various deposition potentials for the 2 mM KAu(CN) 2 + 0. the current after the maximum is significantly higher which can be ascribed to the co-reduction of water to hydrogen. If nucleation is progressive. c is the metal ion concentration in the bulk solution.55 V to -1. the development of diffusion fields may block active sites for nucleation.0 mC cm-2 corresponding to 13.30 V followed by growth of the clusters at -1. In order to ensure that all nuclei were observed in SEM images. At longer times.5 equivalent monolayers of gold.30 V. the transients in the range from -1. This interpretation is in agreement with the current . In many cases.60 V. leading to the situation where the final nucleus density is smaller than No (18-20). and Vm is the molar volume.65 V and -1.2 M KCN (pH 14) at a potential of -1.10 V.2 ax_= 1. The nucleation mechanism can be determined by comparing the results to the progressive nucleation model by rewriting equation (4] in terms of the maximum current. the total deposition charge was 3.2254 (tmax / t) - exp ( 2. the nucleus density becomes independent of time indicating that either all nucleation sites have been occupied or that remaining nucleation sites have been screened by the expanding diffusion fields around existing nuclei. i(t).35 V all converge on a decay curve governed by linear diffusion to a planar surface according to the Cottrell equation. samples were prepared in the following way. the diffusion zones around individual nuclei will start to overlap.10 V.60 V. = A3Dexp(P eI lI/kT)exp(NcriteIl /kT) [7] where Ncrit is the number of atoms required to form a critical nucleus. the plots agree very well with the progressive nucleation and growth model.45 V. the nucleation rate can be determined through Jnuci = dN(t) / dt = AN 0 . i. This is most likely due to a mixed charge transfer / mass transport control.e. The theoretical curves for progressive nucleation (solid line) and instantaneous nucleation (dotted line) and diffusion limited growth are also shown.e. the current is increased due to simultaneous reduction of water which only becomes significant after the gold clusters have grown to a sufficient size. Current transients were also recorded for solutions with 50 mM.5 mM KAu(CN) 2 . The induction time is usually related to the time required to form a stable nucleus. The transient at -1. For progressive nucleation. In the potential range from -1. The value for t is strongly'expendent on concentration.5 mM to 50 mM.40 V. The result of this theoretical analysis is the following expression for the nucleation rate. According to the small cluster model developed by Walton (21) and Stoyanov (22). The inset in Figure 6 shows the reduced parameter plots for the transients at -1. The inverse slope in this potential region is -78 mV per decade. t is corrected for the induction time.2898 (8TcVm)112 (zFc) 2 ma tmax . the experimental results deviate from the progressive nucleation and diffusion limited growth model at longer times. Jnuci (15): Jm. In equilibrium.55 V to -1.2a t. and 0.29 V. the formation of a cluster can be treated as a sequence of attachment and detachment steps. Both the KAu(CN) 2 concentration while i potential and the concentration nexpendence of tmax and imax indicate that the only potential dependent parameter in the nucleation process is the nucleation rate (9). which can be obtained from the maximum in the current transients using the following relation: AN 0 = 0. and Jil is the absolute overpotential.65 V agrees with the progressive nucleation model before the maximum. respectively. In the potential range positive of -1. Analysis showed that in all cases gold deposition proceeds through progressive nucleation and diffusion limited growth. note that the overpotential is negative for bulk metal deposition. After the maximum. whereas supersaturation leads to an increase in the attachment rate and growth of the cluster. the inverse slope of the curve is significantly smaller at about -21 mV per decade. and essentially independent of the concentration. [6] Figure 7 shows log(AN 0 ) versus the potential for KAu(CN)2 concentrations ranging from 0. The time and current at the maximum in the current transients versus the deposition potential for various concentrations of KAu(CN) 2 were found to be exponentially dependent on the deposition potential with inverse slopes of 166 mV per decade and -325 is very weakly dependent on the mV per decade. in equations [4] and [5] represents the time with respect to the onset of the deposition current. 10 mM. to. The relationship is linear between -1. -1.35 V.40 V. and -1.4 V and -1..The time. supersaturation) dependence of the heterogeneous nucleation rate.55 V.7 V. There are various models for the potential (i. the attachment and detachment rates are equal. -1. Electrochemical Society Proceedings Volume 99-9 323 . At potentials more positive than -1. t.65 V. The observation that the nucleus density is independent of potential at negative potentials shows that the potential dependence of the nucleation rate (Figure 7) is derived from the potential dependence of the nucleation rate constant.) e - crit+ [8] . As a consequence. The deviation from linearity seen in Figure 7 at potentials more positive than -1. in addition. The samples were prepared by deposition of 3 . This is not straightforward. . the partitioning may change upon deposition of a metal cluster. At all potentials. Note that in order to apply equation [8]. the nucleus density increases sharply with increasing negative potential. on tue order of I atom have been reported for both metal-on-metal deposition (e. At potentials close to the onset of gold deposition. which corresponds to Ncrit = 0 assuming that P is between 0.) versus potential curve is linear. i. Figure 8 shows the nucleus density as a function of the applied potential determined by SEM and AFM.23).3 V where the log(AN.e.2 at each potential. and the factor Pdepends on the mechanism of attachment. the deposition time was larger than tmax so that the nucleus density is at the maximum value (see Figure 5). In the potential range positive of -1. thus converting the cluster into a critical cluster. The total potential dependence of the nucleation rate in an overpotential range where Ncrit is constant according to the atomistic model is thus given by: d log(J.kTln(l0) [ The value of 0 in equations [7] and [8] results from the attachment probability of one atom to a cluster.25).t = 2 . However. continuous gold films can be determined. while at potentials more negative than about -1. Values for Nr. By plotting log(Jnucl) versus Irll the value of Ncrit can be determined. the nucleation process appears to be less favorable which agrees with the deviations observed in the transient analysis curves. Figure 7 shows that between -1.3. which leads to N . Equation [7] reduces to a classical Volmer-Weber type model for nucleation if Ncrit >> P. and for metal-on-semiconductor deposition (23.5 and 1.4 V and -1. Preparation of gold films on n-type silicon From analysis of the nucleation and growth mechanism.The pre-exponential factor A* 3 n is independent of potential as long as Ncrit is potential independent. the Fermi energies are aligned. as long as the gold deposit is in equilibrium with the silicon. a nucleus density on the 324 Electrochemical Society Proceedings Volume 99-9 .g. This explains the large potential range of more than 0.30 V is due to the decrease in the nucleus density in that potential range. it may be justified to apply equation [8] using the applied potential instead of the overpotential (9. .7 V the inverse slope is -78 mV per decade. This suggests that the nucleation rate constant is exponentially dependent on the applied potential with the same activation energy in the entire potential range. conditions for the deposition of adherent. This result suggests that a gold atom adsorbed on an active site can be considered as a stable cluster (24).40 V. the overpotential needs to be defined as a function of the applied potential. as Ncrt cannot decrease further upon applying more negative potentials.o dIil . A.5 mC cm. First.30 V the nucleus density is constant. the inverse slope of the curve is significantly smaller at about -21 mV per decade. as the applied potential is partitioned over the semiconductor space charge layer and the Helmholtz layer. The nucleus density for the samples in 2 mM KAu(CN) 2 is slightly lower than for the 50 mM KAu(CN) 2 solution. The electrical properties of the electrochemically deposited Si / Au films were characterized by measuring the barrier height and ideality of the the junctions (26). and from the slope of the current . L. The gold films deposited by this method were bright and exhibited good adhesion to the silicon substrate.order of 1 0 10 cm.5 mA cm.2 (where C is the measured capacitance) versus the applied bias was found to be linear with an intercept at C-2 = 0 of -0. Turner. Transmission electron microscopy confirmed that the gold films were continuous and polycrystalline. The electrical properties of the electrochemically prepared n-Si(100)/Au Schottky junctions were comparable to junctions prepared by evaporation or sputtering. REFERENCES 1.50 V to -1. 1 V where the deposition current was about 0. van Heerden for the help with TEM. The authors thank D. in Electrodeposition Technology. X-ray measurements showed that the films were polycrystalline with a < 111 > texture. indicating that the nuclei are not epitaxial with the Si(100) surface.A. eds.60 ms at -1. The values for the barrier height determined by the two different methods are in excellent agreement indicating the absence of either an interfacial layer or electrically active surface states. A plot of C.T. The Electrochemical Society. L.80 eV is obtained. TEM showed that the gold films are continuous. Gold films were prepared by a two step technique.2 . The short pulse ensures that the nuclei do not coalesce under diffusion control. with grain sizes on the order of 50-70 nm. The average film thickness was determined to be 90 nm. which leads to a barrier height of 0. Hence.2 . Electrochemical Society Proceedings Volume 99-9 325 . and T.R.51 V. CTS-9732782. and saturated at longer times at about 1010 cm-2 . Romankiw and D. These characteristics are comparable to high quality junctions obtained by sputter deposition or evaporation (26). while at more negative potentials the nucleus density was constant at about 1010 cm. The forward current (corrected for the reverse bias current) versus the applied bias on a semi-logarithmic plot was also linear over about 5 orders of magnitude. The nucleus density increased with potential close to the onset potential for gold deposition.70 V.79 eV. the nuclei are grown under mainly kinetic control until they coalesce to form a continuous film. The saturation current was determined to be 2. which is in good agreement with the value obtained from the charge passed. Palumbo. The potential was then stepped to about -1. Romankiw. ACKNOWLEDGEMENTS The authors acknowledge support from the National Science Foundation under Grant No. using a 50 mM KAu(CN) 2 solution. CONCLUSIONS The deposition of gold on n-type silicon (100) occurs by progressive nucleation of 3D hemispherical islands followed by diffusion limited growth.2 was generated with a potential pulse of 30 . The density of gold clusters increased linearly with time (progressive nucleation) up to about t = tmax.voltage curve the ideality factor was determined at 1. which corresponds to about 20% of the diffusion limited value.8 x 10-7 A cm-2 from which a barrier height of 0. Theory and Practice.T.2 which shows that these junctions are nearly ideal. Am. 5. ed. 8. Allongue. 28. Electroanal.M. 15. P. Kertov. Staikov. IEEE-IEDM. Acta. New York (1978). W. 23. and W.. Vol. Gunawardena. Chem. 3. Electroanal. G. Soc.C. N. Seidel. Roper. Vereecken. Edelstein. and I. and I. Electroanal. Tomkiewicz. Electroanal. Electroanal. Oxford. New York. 4. Su. G.M. J. 16. R. Electrochem. Heller. 25. Natarajan. 19. Vassileva. Allongue. Chem. 132. Dukovic. Costa-Kieling. Milchev. Chem. M. L. Hills. Stahlbush. Electroanal. Wenheim. G. and H.R. MRS Bulletin. 9. Amsterdam. T.E. and W. Electrochim. G. C.P. Y.E. J. Hills. Electroanal. ed. and J. O. Montenegro. Mayer. Rathore. Gomes. 21. J. Instrumental Methods in Electrochemistry. A. J. (1978). Lawicki. Electroanal. J. 138. 145 (1985). Li. Searson and T. J. 1009 (1993). (1990). 13.H. Miller. 1927 (1998). 138. 3. Costa-Kieling. Phys. Chem. Kaldis. 773 (1997). P. Bonner. 11. 396. Gerischer. Chem. Rhoderick and R. Gunawardena. P. 31. P.. Schulz. Dutkiewicz. 92. Goldblatt. D. McDevitt. 241 (1982). V. 99. J. 140. Proc. Budevski. Pennington. J. 151 (1995)...-Q. 433. Ueda.C. Scharifker. J. 30 (1994).. 2316 (1988). W. Simon.W. 241 (1982). Stoyanov. J. E. J. Lustig. Chem.. H. 140. J. D. Gerischer. I. Critical Reviews in Surface Chemistry. G.J. G. A. Phys. S. Electrochemical Phase Formation and Growth. Chem. 337 (1980).. J. Hills. 1021 (1993). Milchev and E. 341 (1979). Wang. Soc. in Nucleation. 26. 13 . Strubbe. and M. 19 (1997) E. D. 19. and P. 1436 (1999). J. Soc.. North Holland. G. R. 6.C. Hills. Searson. H. G. and P. and G. NJ (1988) p. and B. Oskam.. 22. Chem.H. E. 43. 146. 14. VCH.. MRS Bulletin. 12. 19. Moffat. 138. W. J. "Electrochemical Surface Modification and Materials Processing". 6942 (1982).. Gunawardena. Searson. Scharifker. Vereecken. J. Kolb. Electrochem. Wachnik.: Appl.. K. 326 Electrochemical Society Proceedings Vohlme 99-9 . Soc. G. A. P. Soc. V. and B.. Uzoh. 171 (1994). Montenegro. Long. Walton. 1996. 24. Nakato. Metal-Semiconductor Contacts..M. in Current Topics in Materials Science. 879 (1983). 323 (1980). 107. 17. S. 15 (1994). R.J. J.P. Phys. Chem. 7. Southampton Electrochemistry Group. B. Ellis Horwood.(1997). 107. Chem. Motsiff. Electrochem. 20. 225 (1982). and W.. and V. A. A. K. (1969). and J. Scherb and D. E. 10. 18. 104. Electrochem. Heidenreich. Beltowska-Brzezinska. Zettlemoyer. J. and H. Yano. Luce. Aharon-Shalom. Lorenz. P. Marcel Dekker. Glembocki. Williams. S. G. J. Montenegro. T.J. Slattery.E. Vassileva. 3.A. Tsubomura. Cote. R.G. and H.2. Oskam. and the OCP after the first scan () for n-Si in the 2 mM KAu(CN) 2 solutions at pH 14 at the same KCN concentrations as in Figure 3 versus the concentration ratio.06 M KCN. --1.04 M KCN (pH 14). and (f) 0.. Electrochemical Society Proceedings Volume 99-9 327 .FIGURES -U (V vs.6 M KCN... (d) 0... e E.5 I vB 0... (b) 0... the peak potential in the first scan (A)...potential curves (first scan) for n-Si(100) in 2 mM KAu(CN) 2 solution at pH 14 with: (b) 2 M KCN. 0...2 "J"> . Deposition from Au(CN)2 " can occur by electron transfer from the conduction band.. The scan rate was 10 mV s-1 in all cases.2 M KCN.... with the redox couple Au/ Au(CN)2 (the Au(CN)2 levels are shown) and Au/AuCl4-....... [Au(CN)"] / [CN-]2 Figure 4: The equilibrium potential (in).3 1o- 2 t0o- t 10 U (V vs Ag/AgCt) Figure 3: Current . The scan rate was 10 mV s-1. Curve (a) shows the curve for silicon in 0.02 M KCN.. and (c) 0.. ECB V d 0- 0 0.2• -0.4 -1. Curves (d) and (e) refer to the first and third sweeps in 50 mM KAu(CN) 2 solution with I M KCN at pH 14... (c) 0..4 -15 -0 1o- 4 to. Figure 1: Energy band diagram for n-Si (100) in contact with aqueous solutions at pH 14 and 1. Ag/AgCI) W(E)W(E) o .. ....... Ag/AgCI) Figure 2: Current-potential curves for nSi(100) in aqueous solutions at pH 14 with: (a) I M KCN. c e ..008 M KCN...5 -- ut -8 11U' -2 15 -I pH 14 pH 1 U (V vs. (e) 0. while AuCl 4 can inject holes into the valence band.2 M KCN.. Ag/AgCl) -U (V vs.... 1 - .. the OCP before the first scan (0). determined from AFM. AN 0 .45 V.60 V. The nuclei were grown at -1.6 . 328 Electrochemical Society Proceedings Volume 99-9 .2 1 t/t . (b) -1. and (V) 0.lolx I~il 0 5-e - 109 - .4 -1.5 t (s) t (s) Figure 5: The nucleus density as a function of the pulse length for deposition at 1.55 V.65 V. The inset shows the dimensionless plots at: (a) -1. (b) -1. respectively. (e) 1. 1 10 0.10.55 V.40 V. (c) -1. I -1.1 0.2 M KCN at pH 14 for potential steps from the OCP to: (a) -1.2 M KCN (pH 14) solution. Ag/AgCI) Figure 7: The logarithm of the nucleation rate.29 V. The solid dotted lines correspond to the curves for progressive and instantaneous nucleation and diffusion limited growth.8 -1. I 101 i0I 0 10- I 103 . (f) -1.0 2 MC cms in all cases.45 V. versus the applied potential for experiments in four concentrations of KAu(CN) 2 : (LI) 50 mM.3 2 max 0.1 .5 mM.6 I -1.2 -1.50 V. U (V vs. (d) -1.2 -1.4 .1 V after the nucleation pulse so that the deposition charge was -3. Ag/AgCI) Figure 8: The nucleus density versus the applied potential for a 2 mM KAu(CN) 2 + 0.8 U (V vs.65 V. mC mand Figure 6: Current transients in 2 mM KAu(CN) 2 with 0.5/ 0 108 0. i -1. and (d) -1. 0.'d 0E. 00 010 5 108 100 oo 01 I I -1. ( ) 10 mM). (c) -1. Also shown is the nucleus density obtained from SEM using a 50 mM KAu(CN) 2 +1 M KCN (pH 14) solution.2 M KCN (pH 14) determined using SEM.30 V from 2 mM KAu(CN) 2 + 0. 0.4 3 0. (o) 2 mM. which makes it an attractive choice for packages which run hot. particularly to join InP devices to the submount in a flip-chip assembly. 5 g/l KAuCI 4. 15 g/l L-ascorbic acid. and the solder serves the purpose of heat dissipation.01-0. such as laser devices.11M ethylene diamine. as well as surface and microstructural changes. and 0. Decreasing the Sn content in the solution leads to a lower Sn content in the resulting deposit. The most commonly used solders for bonding in electronic packaging are based on the Pb-Sn system. as well as a coarser grain structure. pulsed current electrodeposits were formed using a solution based on: 200 g/l ammonium citrate. 2 The Au-30at. electron-beam evaporation or electrodeposition. particularly to join InP devices to the submount. paste.%Sn eutectic solder is used in optoelectronic applications.%Sn solder has some advantages over the other Au based solders in that it has the highest strength. Electrochemical Society Proceedings Volume 99-9 329 . Au-Ge (361'C) and Au-Si (364°C). The addition of ethylene diamine to the Au/Sn plating solution leads to a higher deposition rate. The submount is generally CVD diamond. The Au-Sn solder also has a high thermal conductivity compared to other solders. 60 g/l sodium sulfite.312°C). such as Au-Sn (278 0C).%Sn eutectic solder is used in optoelectronic applications. 2-5 g/l SnCl2-2H 20. Alberta. Increasing the average current density during plating affects the homogeneity of the structure in the electroplated deposit. Doesburg and D. The advantages of the higher melting solders include increased thermal stability and long term reliability. The effects of changing the ethylene diamine and SnCl 2-2H 20 concentrations on the structure of the deposits were observed using scanning electron microscopy and x-ray diffraction. higher melting Au eutectic alloys are used. Canada T6G 2G6 ABSTRACT Au-30at.' For optoelectronic devices. and are characterized by high creep rates and stress relaxation. G. lowest elastic modulus and lowest melting temperature of this group of solders. The solder can be applied using solder preforms. mechanical support and electrical conduction. Ivey Department of Chemical and Materials Engineering University of Alberta Edmonton. In this study. with a loss of preferred orientation. BACKGROUND Au-30at. These alloys have low melting temperatures (183 0 C .CO-DEPOSITION OF AU-SN EUTECTIC SOLDER USING PULSED CURRENT ELECTROPLATING J. A scanning electron microscope with a field emission source was employed for the micrographs of the samples.5 mV/s.01-0.Au-30at.08M ethylene diamine to the solution resulted in an increase of solution stability from 15 days to over 30 days. paste.6 A slightly acidic solution for the co-deposition of Au-Sn solder composed of 200 g/l ammonium citrate. 330 Electrochemical Society Proceedings Volume 99-9 . 60 g/l sodium sulfite. Electron-beam evaporation and electrodeposition are advantageous for Au-Sn solder deposition in that the oxide formation prior to bonding can be reduced and the thickness and position of the solder can be closely controlled. The composition of the deposited solder was measured by energy dispersive x-ray measurements using standards in a scanning electron microscope. Subsequent to this.5 mm per side were sampled. and a 2 number of tests were carried out varying the current density between 1. the current was pulsed using a square wave with an on time of 2 mis and an off time of 8 ms.2 V at a rate of 0. and between 0 and 0. and using a saturated calomel electrode as a reference. varying the ethylene diamine content. A second set of plating trials was made keeping the ethylene diamine concentration constant at 0. 15 g/l L-ascorbic acid. and 1 g/l NiC12 for the co-deposition of Au-Sn solder has also been reported. in order to determine the structure and orientation of the deposits. Firstly. The initial solutions used for this experiment contained the following: 200 g/l ammonium citrate. 5 g/l SnCI 2-2H 20. Solder paste also suffers from oxidation prior to bonding. X-ray diffraction measurements were also made on selected samples. 5 g/l KAuCI4. This paper studies the effects of the addition of ethylene diamine to the Au-Sn plating solution reported in ref.2 and 3. EXPERIMENTAL METHOD The test samples for the experiments were cleaved from InP wafers containing a blanket 25 nm Ti/250 nm Au metallization. During electroplating. 24 along with co-evaporation techniques. 60 g/l sodium sulfite. plating trials lasting between 90 and 180 minutes were performed using an inert Pt anode.%Sn solder can be applied using solder preforms (20-50grm in thickness). The changes in the composition and microstructure of the Au-Sn solder coating produced by pulsed current electrodeposition are noted.7 It has been found that the addition of 0. The tests were carried out using a platinum anode. four square regions measuring 1. a set of cathodic polarization tests was performed on the solution and test wafers. in addition to the possibility of solder contamination during bonding from the organic binder in the paste. The sequential evaporation of Au and Sn layers to produce a deposit of desired composition has been successfully employed. 5 The electrodeposition of Au-Sn solder has followed the method of plating Au and Sn layers sequentially from separate Au and Sn solutions.[7]. Solder preforms are problematic for flip-chip applications due to alignment trouble and oxidation of the solder prior to bonding.6 mA/cm for each solution. The electroplating solution developed for the co-deposition of Au and Sn is slightly acidic so that it can be used in conjunction with alkaline-developable photoresists. 5 g/l SnCl 2-2H 2 0. 5 g/l KAuCI4. electron-beam evaporation or electrodeposition. For each deposit.11 M ethylene diamine. 15 g/l L-ascorbic acid.02M. The voltage was varied from 0 V to -1. and varying the SnCl 2 -2H 2 0 content in the solution between 2 and 5 g/l. This is consistent with the shift of the plateau of the polarization curve to lower current densities at higher ethylene diamine concentrations in Figure 1. the Sn content remains close to 50%.2 mA.2 mA/cm 2 .90 -1.2 and 3.00 -1.014 .002 0. SCE (V) The Sn content of the deposits is given in Figure 2. Electrochemical Society Proceedings Volume 99-9 331 . When no ethylene diamine is present in the solution. while at a concentration of 0.000 -0. and falls off at current densities beyond 3.0. At current densities between 1.4 mA/cm 2 is related to the inclusion of Sn in the deposit ./cm 2 . -0.4 mA/cm 2.004 0 . although there is a large amount of variability in the data. since the decrease in current density range was not as great as for the solutions containing higher concentrations of ethylene diamine.008 -0.RESULTS AND DISCUSSION The cathodic polarization data is plotted in Figure 1.01-0.010 -0.2 mA/cm 2.05M-0. gently sloping part of each curve corresponds to the potentials at which mostly Au is plated.02M EDA Voltage vs.70 -0. Plating tests conducted at other ethylene diamine concentrations are shown in Figure 3.02M ethylene diamine. With a further increase in negative potential. there is a trend towards an increase in Sn content between about 10 and 50at. where they begin to rise sharply as hydrogen evolution becomes the dominant reaction. the 50at..0 and 2. as hydrogen evolution and a 'burned' deposit are observed. This current range corresponds to the near vertical rise in the polarization curve in Figure 1 at -. The initial. From this data it appears that the addition of ethylene diamine decreases the range of current densities for which plating will occur.05-0.10 no EDA 0. Using this information.06M EDA 0..60 -0. the curves stay relatively flat until about -1 V.80 -0.f-' -0. the plateau begins at 1.012-0. a large number of plating runs were performed using the solution containing 0. Figure 1: Cathodic polarization curves for solutions with varying ethylene diamine concentrations. Between 2.%.0 and 2. The curves shift to lower potentials and current densities with an increase in ethylene diamine concentration in the solution.006 .%Sn plateau is reached at 2.73 V.4 mA/cm 2.06M ethylene diamine.11M EDA 01-0. while the rise in the curve between 1. Figure 2: Sn content vs. average current density for 0.O1M-O.02M ethylene diamine content. 60 54050 40A A Al A A AA A A A ~30C 20 10 0.0 0 0.5 I I I I I I 1.0 1.5 2.0 2.5 3.0 2 3.5 4.0 4.5 Average Current Density (mA/cm ) When the ethylene diamine concentration of the solution is 0.1 IM, the highest tested in this study, the Sn content in the deposits never exceeds 20at.%. and 'burned' deposits are observed at current densities greater than 1.8 mA/cm 2. This is again consistent with the polarization curve for this solution, although the correlation between the Sn content in the deposit and the polarization curve is not clear. Figure 3: Sn content vs. average current density for varying ethylene diamine content. 60, 040-- ~3020 UA 10 0 0.0 0.5 I I I 0 I I I 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 Average Current Density (mA/cm2 # No Ethylene Diamine *0.05M-0.06M Ethylene Diamine 9 0.11M Ethylene Diamine 332 Electrochemical Society Proceedings Volume 99-9 In the next set of experiments, the SnCI2-2H 20 content of the solution was varied between 2 and 5 g/l, while keeping the ethylene diamine concentration fixed at between 0.01M and 0.02M. The effect of the solution SnC12-2H 20 content on the deposit Sn content is shown in Figure 4. Plating tests were performed between 2.0 and 2.8 mA/cm 2, which is the range for the Sn plateau. As the Sn content in solution decreases, the Sn content of the deposit also decreases. With pulse plating it is possible to match the concentrations of alloys in solution with that of the composition of the deposit, 9 although the Au concentrations in the deposits are always higher than solution concentrations in this work. The Au concentration in a solution containing 5 g/l KAuCI4 is 0.013M, while the concentration of Sn in a solution containing 5 g/l SnC12 -2H 20 is 0.022 M, which would give an atomic Sn/Au ratio of 0.63/0.37. The reason that the solution plates 50at.%Sn may be due to the manner in which it is complexed in solution. In a solution containing 5 g/I KAuCI4 and 2 g/l SnCl2-2H 20 the Sn/An ratio changes to 0.41/0.59, which is still higher than the 30-35at.% Sn deposited. Figure 4: Sn content vs. average current density for 0.O0M-0.02M ethylene diamine with varying Sn content in solution. 55 t'5A 4545 A. 40 S35- 25 1.8 I I I I 2.0 2.2 2.4 2.6 2.8 3.0 Average Current Density (mA/cmr) A 5g4Au-5g/ISn "--5 g4 Au -4 gI Sn --'-5 g/I Au- 3 g/1 Sn -5g/IAu-2g/1Sn Figures 5 and 6 show secondary electron SEM images of the electroplated deposits in cross section and plan view, respectively for samples plated in a solution containing 0.OIM-0.02M ethylene diamine. The deposition rate increases between 1.2 and 3.2 mA/cm2 . Note that the plating time at 1.2 mA/cm 2 is 180 minutes, 90 minutes for the samples plated at 1.8 and 2.4 mA/cm 2, and 40 minutes for the sample plated at 3.2 mA/cm 2. The grain structure of the deposits also varies with an increase in current density. The sample plated at 1.2 mA/cm (Figures 5a, 6a) is gold rich and has a smooth surface containing fine pores about 0.1 gtm in diameter, while the samples plated at 1.8 and 2.4 mA/cm 2 (Figures 5a, 5b, 6a, 6b) exhibit a columnar structure which becomes2 more coarse with an increase in current density. The deposit formed at 3.2 mA/cm appears to have a mixed structure, the bottom two-thirds having a dense, feathery appearance, while the top third has a fine columnar structure. Electrochemical Society Proceedings Volume 99-9 333 a) 1.2 mA/cm 2 (12at.% Sn) b) 1.8 mA/cm 2 (46at.%Sn) c) 2.4 mA/cm 2 (48at.% Sn) d) 3.2 mA/cm 2 (49at.% Sn) Figure 5: SEM cross section images of samples plated from solutions containing 0.01M-0.02M ethylene diamine. 334 Electrochemical Society Proceedings Volume 99-9 t1 a) 1.2 mA/cm 2 (12at.% Sn) b) 1.8 mA/cm 2 (46at.%Sn) c) 2.4 mA/cm 2 (48at.% Sn) d) 3.2 mA/cm 2 (49at.% Sn) Figure 6: SEM plan view images of samples plated from solutions containing 0.01M-0.02M ethylene diamine. Electrochemical Society Proceedings Volume 99-9 335 a) No ethylene diamine (49at.% Sn) b) 0.01M-0.02M ethylene diamine (48at.%Sn) c) 0.05M-0.06M ethylene diamine (52at.% Sn) d) 0.11 M ethylene diamine (17at.% Sn) Figure 7: SEM cross section images of samples plated at 2.4 mA/cm 2 from solutions containing varying concentrations of ethylene diamine. 336 Electrochemical Society Proceedings Volume 99-9 a) No ethylene diamine (49at.% Sn) b) 0.01M-0.02M ethylene diamine (48at.%Sn) c) 0.05M-0.06M ethylene diamine (52at.% Sn) d) 0.11 M ethylene diamine (17at.% Sn) Figure 8: SEM plan view images of samples plated at 2.4 mA/cm 2 from solutions containing varying concentrations of ethylene diamine. Electrochemical Society Proceedings Volume 99-9 337 Figures 7 and 8 show secondary electron images of deposits in cross section and plan view, respectively, for deposits plated at 2.4 mA/cm 2 for 90 minutes from solutions containing varying amounts of ethylene diamine. The deposit formed from the solution containing no ethylene diamine (Figures 7a, 8a), is columnar, as is the deposit plated from the solution containing 0.01M-0.02M ethylene diamine (Figures 7b, 8b). There is little difference in thickness in these two deposits, but the grain size of the deposit plated from the solution containing 0.01M-0.02M ethylene diamine is larger. The deposit formed in the solution containing 0.05M-0.06M ethylene diamine is thicker and has a dense, feathery appearance resulting in needle-shaped grains (Figures 7c, 8c). Finally, the solder deposit electroplated in the solution containing 0.1 IM ethylene diamine is thinner and coarser than the other deposits (Figures 7d, 8d). From these micrographs it can be observed that increasing the ethylene diamine concentration of the electroplating solution up to 0.05-0.06M increases the deposition rate, and increases the roughness of the deposit. Table I: X-ray diffraction data for Au/Sn solder deposits. Average Current Density (mA/cm 2 ) 1.4 1.6 1.8 2.0 2.4 2.8 3.2 3.6 Average Sn Content (at.%) 16.4 46.4 32.1 37.4 46.5 45.5 48.2 40.1 Major Phase Present Au5 Sn AuSn AuSn AuSn AuSn AuSn AuSn AuSn Preferred Orientation 001 110 110 110 110 ------------- X-ray diffraction was carried out on selected samples deposited from the solution containing 0.01M-0.02M ethylene diamine, and the results are given in Table I. The deposit formed at 1.4 mA/cm 2, which has a low Sn content was found to be mostly Au 5 Sn, oriented with the (001 ) planes parallel to the wafer surface. The electrodeposits formed at current densities ranging between 1.6 and 3.6 mA/cm 2 all have AuSn as the dominant phase, which would be expected since the Sn content of these coatings is close to 50at.%. The deposits plated between 1.6 and 2.4 mA/cm 2 also have a preferred orientation, with the AuSn (110) planes parallel to the wafer surface, while preferred orientation is lost at current densities higher than 2.4 mA/cm 2 . It is believed that the structure of a deposit depends on the relative rates of formation of crystal nuclei versus 0 the growth of existing crystals. 1 As current density increases, the rate of nucleation rate increases, which is consistent with the loss of preferred orientation observed in the x-ray diffraction results. These results can be related to the observed microstructures, as the micrographs for the deposits plated at 1.8 and 2.4 mA/cm 2 (Figures 5b, 5c) show a columnar structure, matching the preferred orientation found in the x-ray data for these current densities.is also deposit plated atx-ray mA/cm2 (Figure 5d) is much less columnar or oriented, which The reflected in the 3.2 data. 338 Electrochemical Society Proceedings Volume 99-9 CONCLUSIONS The addition of between 0.01M and 0.06M ethylene diamine to a chloride salt-based Au/Sn plating solution affects the microstructure of the electroplated deposits, as an increase in ethylene diamine concentration leads to a higher deposition rate, and a resulting coarser grain structure. An ethylene diamine content of 0.11M is detrimental to Au/Sn alloy plating. Decreasing the Sn content in the solution leads to a lower Sn content in the resulting electrodeposit. Lastly, the average current density during plating affects the homogeneity of the structure in the electroplated deposit, with a loss of preferred orientation as the current density exceeds 2.4 mA/cm 2 . ACKNOWLEDGMENTS The authors would like to thank Nortel Networks and the Natural Sciences and Engineering Council (NSERC) of Canada for funding this project. REFERENCES 1. W. J. Plumbridge, Journalof MaterialsScience, 31, 2501-2514 (1996). 2. A. Katz, C. H. Lee and K. L. Tai, Materials Chemistry and Physics, 37, 304-307 (1994). 3. C. C. Lee, C. Y. Wang and G. Matijasevic, IEEE TransactionsComp. Hybrids, Manufacturing Technology, 14, 407 (1991). 4. L. Buene, H. Falkenberg-Areil and J.Tafto, Thin Solid Films, 65, 248 (1980). 5. D. G. Ivey, Micron, 29, 251 (1998). 6. C. Kallmayer, H. Oppermann, G. Engelmann, E. Zakel and H. Reichl, 1996 IEEE/CPMTInt'l Electronics ManufacturingSymposium, (1996) p. 20. 7. W. Sun and D. G. Ivey, Materials Science and EngineeringB, accepted June 1999, 29 manuscript pages. 8. W. Sun, MSc Thesis, University of Alberta (1998) pp. 77-81, 85. 9. H. Leidheiser Jr. & A. R. P. Ghuman, J Electrochem. Soc., 120, 486 (1973). 10. W. H. Safranek, Plating & Surface Finishing,75, 10 (June 1988). Electrochemical Society Proceedings Volume 99-9 339 50 V Reduction: 340 Electrochemical Society Proceedings Volume 99-9 . The effects of the period and the number of times of the zincation process to the mechanical strength of the electroless nickel deposits were investigated. From the SEM and AFM characterization. increased number of I/Os per unit area for increased speed and power. Singapore 119260 Institute of Materials Research and Engineering.ZINCATION TREATMENTS FOR ELECTROLESS NICKEL UNDER-BUMP METALLURGY IN THE FLIP-CHIP PACKAGING 2 Tze-Man Ko'*. Fax: (65) 7791936 ABSTRACT One of the methods to mount a flip chip is by solder bumping that utilizes Ni/Au metallurgy as the under-bump material. E-mail:
[email protected] V E0 = 0. transitions of zinc grain size and surface roughness were observed. William T. through the studies of surface morphology and transformation during pretreatment. Blk S7. INTRODUCTION Flip chip technology is a simple idea of 'flipping a chip' to connect its device I/Os downside directly on the printed circuit boards. avoiding the more expensive photomasking since the reaction is selective and autocatalytic [2]: Oxidation: Zn -+ Zn2+ + 2e' H2PO2" + H2 0 -. Grains were large with distinct grain boundaries for immersion time of 5 s but decreased in size and lost their characteristic shapes as the zincation time increased. Lower Kent Ridge Road. 1). Zincation baths were used to condition the aluminum surfaces for nickel plating. the elemental composition transitions of the zinc deposits formed by different zincation time and bath compositions are also investigated.edu.sg. Experiments were carried out to determine the optimum conditions of the aluminum surface for nickel adhesion. The apparent advantages are shorter electron pathways. Length of immersion time during the second zincation also affected the physical properties such as shear strength after 1 h of electroless nickel plating on the 80 [tm x 80 Pjm Al bond-pads of a commercial bare microchip. Level 3. The process of Ni bumps mounting engages wet chemistry through an electroless plating bath.H2PO3 + 2H+ + e' E 0 = 0. Nickel bumps act as adhesive layers for stable and reliable contacts to the Al bond-pads. protect Al from oxidation. By using SEM-EDX and XPS. cost reduction. and increased package density [1]. 2 10 Kent Ridge Crescent. The mounting of flip-chip by utilizing UBM (under-bump metallurgy) forms the basis of our study in this paper (Fig. Tel: (65) 8745004. Chemical & Environmental Engineering Department. and form a diffusion barrier for subsequent layers or contacts. Singapore 119260 *Contact person. Chen 'National University of Singapore. A double zincation produced a more compact deposit with smaller size grains compared to single zincation. Wei-Chin Ng'. All 3 types of substrates are used. A commercial zincation bath is also analyzed for the purpose of comparison. Step 6: 2 "dzincation at room temperature. and silicon wafers coated with e-beam evaporated Al.26 V Typically. Step 3: NaOH etch for 15 s at room temperature. Step 4: 1st zincation at room temperature. a zincation pretreatment of the Al is essential to enhance the Al-Ni interfacial contact. This paper focuses on the zincation treatments for electroless nickel plating by analyzing the surface morphology and the deposited Ni properties. Single zincation time is varied between 030 s. 5 min at 60'C.Ni0 Overall: Zn + 2H 2PO 2 + 2Ni 2+ + 2H 20 E0 = -0. Morphologies of the 3 types of substrates vary in terms of grain size and roughness (Fig. Experiment I A commercial zincation bath is used. Run 1 sample is just after NaOH etch. 5 min at 60'C. 2). EXPERIMENTAL Zinc pretreatment baths are prepared by varying the amount of zinc oxide in a strong alkaline bath. sputtered silicon wafers. and a second zincation time of 5-30 s. soak clean and rinsing is the same for all experiments. Step 7: Electroless nickel plating at 90'C for 1 h (only for CMOS chips) Experiment 2: Solution I (100g/l of ZnO) is used (Table 1).Ni 2÷ + 2e . Samples are retained at each individual step. acting as a sacrificial layer during the autocatalytic electroless nickel plating process [3]. Run 2 is just after nitric acid etch. Table 1. but without any zincation. The following outlines the typical zincation process for electroless nickel plating: Step 1: Degrease.25 V -4 Zn2+ + 2H 2PO3" + 2Ni + 4H+ E0 = 1. Experiment 2 Runs 1-9. Three different types of substrates are used: CMOS wafer chips with multiple Al bond pads. Process steps like degreasing. Step 5: Nitric acid etching for 10 s at room temperature. CMOS wafer chip samples are retained after each designed stage. Step 2: Soak clean. Run Samples I NaOH etch and rinsed 2 Nitric acid etch and rinsed 3 5 s single zincation 4 10 s single zincation 5 20 s single zincation 6 30 s single zincation 7 30 s for 1st and 5 s for 2" zincation Electrochemical Society Proceedings Volume 99-9 341 . Thickness of the Al films ranges from 5000 A to 1 Pim. before Ni coating on Al. Only CMOS wafer chips are plated with electroless Ni for 1 h after each run. Aluminum is still detected on the uncovered areas. surfaces of the aluminum are roughened slightly during the 15 s bath time. NaOH etch Aluminum etching takes place in the NaOH bath. From SEM/EDX analysis. both steps do not adversely affect the surface of the aluminum bond pads for all 3 types of substrates. the surface is extensively covered by small. Cleaning is done at 60'C for 5 min. 4 125 500 5 150 500 342 Electrochemical Society Proceedings Volume 99-9 . Table 2. First zincation For all 3 types of substrates. However. both AFM and SEM show large hexagonal shaped deposits of sizes up to 2 jim [6]. dissolution of the large grains into smaller and finer grains proceeds as immersion time lengthens. nitric acid further roughens the aluminum surface with the deposited zinc grains. 5). singular pieces of zinc. large grains of zinc form the major bulk of the deposition. From optical microscopy and AFM results. coverage of the area increases but the average particle size is decreased to less than 1 Vim. Following the I" zincation. Samples at 30 s single zincation and 30/30 s double zincation are retained [4]. aluminum surfaces are more adversely etched but roughened evenly. Experiment 3 zincation solutions 1-5. 2 3 1 Solution 50 75 100 ZnO (g/1) 500 500 500 NaOH (g/l) RESULTS AND DISCUSSION Degrease and soak clean Commercial cleaning solutions are used in the pre-clean step.8 9 30 s for I st and 20 s for 2"d zincation 30 s for 1st and 30 s for 2" zincation Experiment 3: Solutions 1-5 are used (Table 2). the distinct feature of 1st zincation after a period of 5 to 30 s immersion time is the extreme roughness of the surfaces (Fig. Nitric acid etch Aluminum etching also takes place during the 10 s nitric acid dip. SEM-EDX is done on single zincation whereas XPS done only for double zincation. Dissolution rate of aluminum during NaOH etch can be determined [5]. From the AFM scans. however. the purpose of homogenizing the zinc layer is also achieved after the nitric acid etch. Coverage by these grains is neither homogeneous nor extensive. Removal of the deposited zinc is possible during the acid etching. By the 3 0 th second. Being a stronger etching bath than the NaOH bath. CMOS wafer chip and sputtered Al silicon wafer are zincated but only CMOS wafer chips are electroless nickel plated for 1 hour. with gaps of aluminum among the covered areas. From 5 s immersion. As immersion time is increased to 20 s. Solution 1 is the chosen standard solution at 100 g/l. A commercial electroless nickel bath. Basically. Electroless nickel plating Runs 1-9 A controlled set of experiment is performed to determine the shear force properties of Ni-P bumps plated by electroless method on the Al bond pads of the CMOS chips. For Runs 3-9. AFM results show that the film coverage develops as immersion time increases. However. XPS is performed for all doubly zincated films with a spot size of 150 [Lm x 150 ýum. with varying amounts of zinc oxide added. XPS results (Fig. from optical microscopy. In general. sizes of the Zn grains are markedly reduced. 9a and b). show poor adhesion or no adhesion of the Ni bumps on the Al bond pads (Figs. The Al bond pads of the CMOS chips are coated with electroless Ni and sheared [8]. There are no distinguishable grain boundaries similar to those after the 1st zincation. Although the zincation time is varied in these runs. with hypophosphite as the reducing agent in the solution. For all zincation solutions 1-5. On some Al bond pads. SEM/EDX results also reveal that the dark regions on the SEM micrographs are not covered by Zn. 6). SEM micrographs (Figs. the highest is solution 5 (150 g/l). Ni does not even form on the surfaces after I h of electroless nickel plating. After the 2 nd zincation. is used throughout for consistency. After single zincation. The lowest ZnO concentration is solution 2 (50 g/l). aluminum is not detected on the surface by XPS after a double zincation of 30 s. Single zincation is done at 30 s immersion time whereas double zincation is done at 30/30s. 9c and d) show that uniform Ni bumps with good surfaces are formed after 1 hour of electroless nickel plating. This same trend is observed when using the commercial zincation solution on the CMOS wafer chips. nitric acid is used to homogenize the prezincated surface in roughness and coverage. grain size of zinc is markedly reduced even after only 5 s immersion. Zincation experiments are performed for all 5 solutions on sputtered Al substrates. After double zincation. Comparing Runs 3 to 9. homogeneously formed electroless Ni is found on all Al bond pads even after just 5 s single zincation dipping. the surface is lighter in color and shade compared to the surface after the I"s zincation which is much darker and rougher.Second zincation Before the 2 zincation takes place. Zincation solutions 1-5 Zincation solutions I to 5 are strong alkali solutions containing 500 g/l of NaOH each (Table 2) [7]. At least 5 bond pads in the same region of the chip are sheared in order to obtain an average for statistical comparison. From the SEM and AFM results (Fig. Samples of Runs I and 2. 7) show that the distribution of the particles on the Al bond pads is random with varying grain sizes. SEM and SEM/EDX results (Fig. There is no distinguishable difference for all 5 types of solutions after single zincation on SEM. a thin film of Zn is coated on the Al. the electroless nickel formed in Runs 1 and 2 are not uniform and cannot be controlled. Particles are minute and in submicron range throughout the covered region. samples reveal large particles of deposited Zn. which are only cleaned and etched by NaOH and HNO 3. Strong Al signals are depicted after 30 s of single zincation immersion for all 5 types of zincation solutions on these dark areas. there is no significant Electrochemical Society Proceedings Volume 99-9 343 . with the I` zincation fixed at 30 s for all samples. 8) do not show a proportional relationship between the ZnO concentration in the zincation baths vs Zn concentrations or grain sizes in the deposited zincation films. Zincation solution 1 is chosen for the zincation bath. As the zincated film is too thin for SEM/EDX analysis. 11). SEM and AFM results show that the doubly zincated films are much more compact than the singly zincated films. The average is about 140 ± 20 gf. The lowest shear forces recorded are samples from zincation solution 2 at single zincation and the highest values are those from zincation solution 1 at double zincation. As immersion time proceeds. samples without zincation treatments show poor adhesion characteristics or no nickel formation at all. the dark regions between the deposited particles are shown to remain as untreated Al. with the highest of zincation solution 1 after a double zincation treatment. Shear force test results show good mechanical properties of the deposited electroless Ni bumps with an average of 140 gf on the 80 pum x 80 prm Al bond pads. The overall plating rate of the nickel bumps is approximately 15 [um/h obtained by measuring the cross-sectional thickness after 1 h electroless nickel deposition. By SEM/EDX analysis. Therefore. Nitric acid etch after the Ist zincation homogenizes the surface before deposition by the 2 nd zincation takes place. Large particles of Zn are deposited on the Al bond pad surfaces within the first few seconds of zincation. which is a single zincation for 30 s. a zincation pretreatment of the Al substrates is essential for good electroless nickel bump formation. The highest shear strength is measured for samples of double zincation at 30/30 s of about 158 gf. the size of the particles gets smaller but the coverage of the substrate by Zn particles increases. Electroless nickel plating for zincation solutions 1-5 The shear forces of all electroless nickel bumps formed from zincation solutions 1-5 exceed 100 g. Reduction of grain size takes place when the zincation time increases while the coverage of the surface increases. Variation of the ZnO content in the zincation baths does not have a visible impact to the deposition during first zincation. All of the measured shear strength of the nickel bumps formed on the 80 lim x 80 Rtm Al bond pads exceeds 100 g force. CONCLUSION Zincation treatment is applied on Al bond pads in order to activate the Al surfaces for the adhesion of electroless Ni bumps. 344 Electrochemical Society Proceedings Volume 99-9 . 10). Fig. The same trends of the zincation effects are observed on all 3 types of Al substrates and of all 5 types of zincation solutions as well as the commercial zincation bath solution. A slight decrease in shear strength is recorded for samples of Run 6. Grain boundaries are distinctly observed.difference in the appearance of the deposited electroless Ni bumps although the surfaces of those treated by double zincation appear to be smoother and more uniformly coated than those treated by single zincation. 12 also shows that the shear forces of the nickel bumps on the Al bond pads are generally slightly higher by a double zincation treatment than a single zincation treatment. SEM micrographs depict smooth and uniform nickel plating on the Al bond pads for all the zincation solutions except zincation solution 2 which shows a more 'bumpy' morphology than the others (Fig. Shear force tests are performed for samples of Runs 3-9 using the DAGE series 4000 shearing machine (Fig. XPS shows extensive coverage of a thin layer of Zn on the doubly zincated Al surfaces. In contrast. Plaschem Co. J.I. C. 4.I. McGraw-Hill. Han. Ohio (1991).B. Tsui.G.19(1). REFERENCES I. is greatly appreciated for allowing us to use their DAGE series 4000 shear force testing machine. Vol. Plenum Press. 5. Cui. Electroless plating: Fundamentals and Applications. "Nickel electroless plating process for solder bump chip on glass technology. J. kindly supplies the soak cleaning.O. X.C.C Teo.. Robertson. S. G. and C. Walter Lim in the Microelectronics Laboratory of the Department of Electrical Engineering at the National University of Singapore." EEP-Vol. Ritchie. "A low cost bumping service based on electroless nickel and solder printing. W. 8. 36.M. 19-1.ACKNOWLEDGMENTS 1. Zhang.. 5. Electroless Nickel Plating. 4. Li Kun from the Institute of Materials Research and Engineering. "A kinetic and electrochemical study of the zincate immersion process for aluminum.M. Lim. Flip Chip Technologies. 57 (1997). 25. ASM International. S. Electrochemical Society Proceedings Volume 99-9 345 . Electrochem." Jpn. G. Corrosion and Electrochemistry of Zinc. 2091-2095 (1997). 659-666 (1995). T. Phys. 3. Y. New York (1996).American Electroplaters and Surface Finishers Society (1990). XPS data are obtained with the kind assistance of Dr. Millice Co. zincation and electroless nickel solutions for the experiments. Mallory. and D. Druskovich. 6. Heinricht. 2. Lau. 2. ASME (1997). XPS and sputtering equipment. New York (1995). 3. Advances in Electronic Packaging. "Low cost underbump metallization by electroless Ni/Au plating.G." Advances in Electronic Packaging. Hong. Riedel.H.Q. 119123. I. 7. The e-beam evaporated Al films are deposited by Mr. The Department of Physics at the National University of Singapore provides tremendous support for the use of the AFM. J Appl." J Appl. Motulla and K. UBM . (b() (d Fig.1 pm) and XPS of sputtered Al on silicon wafer. 1.Nickel bump on Al bond pad. c and d: AFM (.8000 A) and XPS of CMOS wafer chip. AFM scans after (a) NaOH etch and (b) nitric acid etch for CMOS chip. Ni paissivation SI Al bondpad Si wafor w . e and f: AFM (5000 A) and XPS of e-beam evaporated Al on silicon wafer. 2.:fIr " Fig.Fig. a and b: AFM (. Vat 346 Electrochemical Society Proceedings Volume 99-9 . 3. and (c) 30 s. (b) 20 s. Electrochemical Society Proceedings Volume 99-9 347 . 5. a) (~b):=. Fig. AFM of sputtered Al after single zincation of (d) 5 s and (e) 30 s. AFM of CMOS wafer chip after single zincation of (a) 5 s. AFM of e-beam evaporated Al after single zincation of (f) 30 s. 4.Fig. SEM micrographs after (a) NaOH etch and (b) nitric acid etch for CMOS chip. Fig. (d) XPS of 30/30 s zincated surface by zincation solution I showing no Al on surface. (b) SEM/EDX on a grain of the 30 s zincated surface by zincation solution 2 showing that the grain is predominantly zinc. AFM of CMOS wafer chip after a double zincation of (a) 30/5 s. AFM of sputtered Al after a double zincation of (d) 30/5 s. (c) SEM of 30/30 s zincated surface by zincation solution 1. and (c) 30/30 s. (a) SEM of 30 s zincated surface by zincation solution 2. (e) 30/30 s. 6. 7. AFM of e-beam evaporated Al after a double zincation of(f) 30/30 s. 'V6 At (a) (b) (c) (d) 348 Electrochemical Society Proceedings Volume 99-9 . (I) (C) i(d) (C c ]I)I Fig. (b) 30/20 s. 8. (b) Run 2. (c) Electrochemical Society Proceedings Volume 99-9 349 . 14- Peak Baground ( 12C --- 1C Zn so 600 Zn 40i I II 1200 S li 1000 . (c) Run 3. and (d) Run 9.Fig. 9. A typical XPS surface survey scan of detectable elements after double zincation at 30/30 s on sputtered Al substrates.i 600 600 Binding Energy (WY) 400 71 i0 200 Fig. SEM of electroless nickel plated Al bond pads on CMOS chips of (a) Run 1. SEM of electroless Ni bumps on Al bond pads formed from (a) zincation solution 2 and (b) zincation solution 5 by double zincation treatments.Zincatdon 3 6 Run Number 9 Fig. (a)3oSoV 350 Electrochemrical Society Proceedings Volume 99-9 .) 30/30s 30/15 c 140 0) gge Double 2 100 Zincation . 10.Fig. S180 C. 11. Shear force results for samples of Runs 3-9. Single zincation 160.00 120.00 140. Shear forces of electroless nickel bumps formed on Al bond pads after treatment by different zincation solutions: (a) single zincation treatment and (b) double zincation treatment.00 S( 140.00 120. 12.00 50 100 ZnO concentration (g/l) 150 (b) Electrochemical Society Proceedings Volume 99-9 351 .Fig.00 50 100 ZnO concentration (g/l) 150 (a) Double zincation 160. Georgia Fax:(995 32)536937 E-m ail: tek_! phy i.13.p. The developed technological processes were widely introduced into microelectronics. Tbilisi.s. metallization of dielectrics and semiconductors is carried out either by means of high-temperature.g 1. pore-free coating or thick coating with low internal stress and with high adhesion to the substrate by electroless method metallization on polished dielectrics. etc. 0.Khoperia Institute of Physics.MICROFABRICATION OF MICRODEVICES BY ELECTROLESS DEPOSITION T. hardly accessible surface. Among disadvantages of the existed methods of metallization are: large consume and lose of noble metals. high energy consumption.N. electroless metallization with preliminary activation by the salts of noble metals. especially of small hollow articles.6). the difficulty of obtaining the coatings of uniform thickness on the articles having complex profiles. 15. Georgian Academy of Sciences 6 Tamarashvili st. in particular.. long fusing of metal-containing pastes. 380077.18. piezoengineering. The department headed by the author of the article. 18.28-31). difficulty of obtaining of thin selective. and coatings with predetermined 352 Electrochemical Society Proceedings Volume 99-9 . semiconducting and metallic materials began thirty years ago (5. According to the results of the proposed investigations disadvantages are excluded properties are obtained. complexity and expensiveness of equipment for vacuum or steam-gas metallization.29-31). on the basis of nickel metalloids and metals (1-6. impossibility of plating the inner.18.29-31). (1-32). the thorough investigations of electroless plating by pure metals and alloys of dielectric. INTRODUCTION At present. deposition from vapor-gas mixtures. difficulty of continuous metallization of three-dimensional articles.ce•. computing and aerospace techniques of Commonwealth of Independent States (1-7. or by means of sputtering. 4. condensation at vacuum-thermal evaporation. in some cases.13. long time for making devices. Many of these disadvantages of the existing methods of metallization are excluded when integrating electroless deposition and electroplating with vacuumall above mentioned physical-chemical alloys with different thermal evaporation and deposition from vapour-gas mixtures (1-6. difficulty of alloy deposition of the given chemical and phase compositions and given structures. !3.. radioelectronics. HCI conc. the number of the adsorbed palladium ions is several times less under the same conditions Electrochemical Society Proceedings Volume 99-9 353 . precision of surface concentration determination was -20%.5 g/l. Radioactivity of samples relative to 13-radiation was measured The sensitization by gas-flow counter. respectively. serial X-ray photoelectron spectrometer ES-100 was used. 15.20 g/l. 13.. which was evacuated to .2. The samples were attached to holders and were placed in the spectrometer chamber. I. and subsequent activation of samples.2 eV.5 for 10min and PdCI 2 2H 2 0 -1. pH 0. Surface concentrations were determined by measurement of intensity relative to Si2p intensity. For investigating the adsorbed ion states.40 ml/l. in the first approximation X was supposed to be proportional to E 1 /2 kin (1). XMe and XSi are the depths of free leakage of photoelectrons with the given kinetic energies. MCT-17. 0 C Akl Am 2 where Me/Si is the atomic ratio of metal and Si.6 • 10 -5 Pa at -100 0 C. Atomic ratios were determined according to the following equation (1): Me Si I'A cs. As. 30). The glass and quartz plates were immersed into the solution containing 113 Sn and 103 Pd radioactive isotopes introduced as chlorides. 25. were carried out in the following solutions: SnCI 2 2H 2 0 . 3. 18.25). only activated. EXPERIMENTAL The results of investigations of adsorption and desorption of tin and palladium ions obtained by the methods of radioactive isotopes. IMe/ISi intensity of Me and Si. Precision of E bonding determination was ± 0. XPS and photometry under different experimental conditions (1-4.RESULTS AND DISCUSSION When the glass had not been sensitized in advance but (1-4. with the exception of specific cases. Measurement precision was ± 5% and the data reproducibility 30%. pH 2. o Me and aSi is the measured ratio of photoionization of are the sections of corresponding levels for metal and silicon. after surface activation and its hypophosphite treatment. -the production volume per square meter of the production increases 8 times as compared to the metallization by fusing silver paste. but also a greater strength of bonding palladium to the surface.+ 2H ++ 4C1 The developed methods of metallization of different materials are widely used in the enterprises of the Commonwealth of Independent States (CIS) for production of quartz resonators and filters (several tens of mln.+ H2 PO2. On the one hand. piezoceramic devices for hydroacoustics and delay lines of colour TV sets (several hundreds of min. were produced). This is confirmed by the fact that. on the other hand tin and palladium ions. Sn (II) + Pd (1I) = Sn (IV) + Pd [2] appears to be partially reduced at subsequent interaction with hypophosphite according to reaction 2 [3] PdCI4 . were produced). It is established that a part of palladium ions.2H 2 0 solution increases the adsorption of Pd ions. Reduction of the adsorbed Pd (II) ions up to the metallic state at sensitization or without it takes place at the subsequent treatment of activated glass in the hypophosphite solution. ceramic microplates. that the sensitization stimulates the adsorption of palladium ions and part of non-reduced palladium ions is reduced by hypophosphite. monolithic piezoquartz filters. the surface pretreatment in the SnCI 2 . -the accuracy of fixing precise microwire resistors is increased 10 times. we can conclude.time of the technological cycle of metallization is reduced 10 times and labor intensity of the process decreases sharply. palladium atoms presented on the surface.The number of palladium adsorbed on the glass appeared to be greater. casings of integrated circuits and semiconducting apparatus. precise microwire resistors and other devices. and the technology is significantly simplified. . than that of tin ions. photomasks. -the reliability of quartz resonators is increased 1. when the process is carried out without sensitization. It is shown that the existence of adsorbed tin ions ensures not only a greater amount of palladium on the glass. i. not reduced by sensitization. as compared to the resonators with silver plated piezoelements.e.+ H2 0 = Pd + H2 PO 3 . -maintenance.8 times and dynamic resistance is decreased by 30%. quality and operational characteristics of photomasks increase. as well as reduced palladium atoms exist on the surface after sensitization and activation. 354 Electrochemical Society Proceedings Volume 99-9 . With this method: -the use of gold and silver is excluded in the process of metallization. Thus. The selectively semitransparent double-layer photomasks produced on the basis of the given invention have the following advantages as compared to conventional chromic photomasks: 1) Application of such photomask with semitransparent edges of masking elements significantly simplifying and increasing one of the most important operational characteristics . the lower semitransparent layer is inert to the etchant. transparent defects.the precision of photomask alignment. The lower film is etched by the solution subetching the upper layer as well. By our technology two-layer film is obtained. pin holes and holes in the lower layer of Si. This alloy was deposited by electroless method. However. Electrochemical Society Proceedings Volume 99-9 355 . Simplification and increase of alignment precision is induced by the fact that through the semitransparent edges of masking elements in the visible region of spectrum the operator can visually observe the whole IC under the photomask in the process of alignment of the photomask and IC pictures. single contact photolithography (1. Semitransparency (semitransparency in visible and non-transparency in ultraviolet range of the spectrum) of masking edges (with about 3 micron dimensions) of elements in the lower Si layer (deposited by vacuum-thermal method) were obtained under non-transparent masking elements of the upper layer of nickel . Symmetry of the elements in upper and lower layers coincides. dissolving the upper layer. plated lower Si layer. and a new design for the production of two-layer selectively semitransparent photomask with semitransparent edges (of silicon) were proposed based on application of high-productive.phosphorous alloy. 2) Significantly low defectiveness as compared to one-layer photomasks (porefree films are obtained) since as a rule.4. The dimensions and smoothness of the edges are determined by elements produced in the lower layer of the semitransparent film (base film).The integration of the vacuum-thermal and the electroless methods of metallization gave the possibility to carry out microfabrication (microminiaturization) of selectively semitransparent masking elements of photomasks. the centers of lower Si layer crystallization do not coincide with the centers of upper Ni-P alloy layer crystallization.3.13. pin holes and holes in the upper layer of Ni-P alloy are not continuation of transparent defects. the area of upper NiP elements is less than that of elements of the lower silicon layer. In the given case a new technology.29). The magnitude of undercutting is regulated (depending on the circuit complexity) by the component ratio of the solution for etching the lower semitransparent film. The semitransparency of such photomasks is reached by the shape identity of the elements of electroless plated upper NiP layer and of the vacuum. that increases percentage of IC output. On the basis of our invention practically pore-free. and of crystallization centers in upper and lower layers of photomask) almost defect-free photomask is obtained. 3) High wear-resistance obtained as a result of annealing of Ni-P alloy and formation of hard intermetallic (Ni 3 P) substance.13. photoresist adhesion to the photomask and photoresist capture by photomask are also decreased. wear-resistant. By means of the given photomask design of the surface of the masking elements being in contact with photoresist is decreased (as in the given case only upper masking elements are connected with photoresist at contact printing). Besides. selectively semitransparent double-layer (Si-NiP) precision photomasks were produced and introduced into radioelectronic industry with large technical-economic effect (1. group method of exposure of the whole substrate (29). The new competitive methods of making photomasks with semitransparent submicron size elements on the basis of contact.3.29).13. single photolithography or of the modified resistless (maskless) technology are proposed (4. The invention allows us to manufacture photomasks with semitransparent submicron size elements by high-productive. that the edges of the lower layer elements defining the picture (topology) of photomask are not subjected to friction at contact photolithography (as they are protected by the elements of the upper layer).18.3). solving the problems of contact photolithography (1. At the contact photolithography the common problem is the capture photoresist of a by photomask and the swelling of the photoresist.4. the existence of gaps and channels between upper masking elements simplifies the removal of gases evolved at the photoresist exposure and the eliminates unforeseen separation of photomask from IC plate at contact photolithography. 29-3 1). or the 356 Electrochemical Society Proceedings Vohlme 99-9 . X-ray lithography. 4) The existence of gaps between transparent sections of the photomask substrate and the surface of exposing photoresist. In the given case it should be noted. At the contact photolithography in which quinonediazide resists are widely used unforeseen separation of photomask from IC plate is observed in some cases due to pressure of nitrogen evolved during resist exposure. as well as the of channels between the upper elements of the photomask. The proposed method is much more advantageous and simple than other expensive and complicated method such as e-beam.As a result of mutual lapping of transparent defects in different layers (due to mismatch of transparent defects. The new proposed competitive method solves one of the main problem in modern microelectronics. consist. The above mentioned possibility is due to the fact. This new submicron technology allows us to produce devices with adjacent elements made of various materials of different thickness by single lithography. Disadvantages of photomasks used in contact photolithography are induced (from the point of view of submicron technology) by the limitations imposed by geometrical and wave optics fundamental laws. The invention prevents the existance of irreparable radiation defects of devices. These advantages increase the possibilities for device design and simplify the removal of undesirable gases and heat dissipation. For realization of the invention the transparent sections of photomask are made by selective etching of modified submicron size boundaries between opaque masking elements (on fabricating photomask).13. It also increases the output of production.production of photomasks with light phase shift. The new method allows us to avoid the application of e-beam exposure equipment costing more than $4 000 000 and other complicated equipment. in decrease of resolution. by the wave nature of the light and are manifested in undesirable diffraction of actinic radiation. to increase the alignment precision due to semitransparency of the masking elements in the visible region of the spectrum. The above disadvantages are induced. to reduce the reflection coefficient of the masking elements and to provide the sharp contours of the obtained circuit. in particular. in particular. Electrochemical Society Proceedings Volume 99-9 357 . an inexpensive photomask with elements larger than 1 micron size can be used as a master photomask (4.29-3 1). as well as X-ray masks with gold masking elements. The invention allows us to obtain more wear-resistant photomask as compared to chromic ones. The size of both opaque masking elements and transparent sections on the master photomask can be much more than a submicron. in parasitic intensive reflection of masking elements resulting in multiple reflection of exposing radiation. since the application of high-energy e-beam and X-rays used in e-beam and X-ray lithographies for the production of submicron size elements is excluded. On the basis of the new technological principles proposed for manufacturing working copies of submicron photomasks. The technology developed by us is based on the possibility of elimination of the acuity of the results of limiting fundamental laws of geometrical and wave optics. The limitations mentioned above. that the suggested fabrication method of submicron elements on working copy of photomask is not based on transmission of exposing radiation through the similar transparent sections of submicron dimensions or nontransmission of exposing radiation through the submicron opaque masking elements on the master photomask. The given achievement enables us to increase considerably the information capacity of the memory banks. 358 Electrochemical Society Proceedings Volume 99-9 . patentable . alignment precision and resolution. significantly cheap price of the manufacture technology.The developed construction and new technological processes of making photomasks withh submicron size elements solve problems of contact photolithography and have a number of advantages over the technologies existing so far. as well as wear-resistance. as well as cutting in dielectric layers and reactive ion etching. The scientific basis of the new method of making photomask with submicron size elements consists in that the technological processes carried out in such a way that the difference between the boundary properties of materials and bulk properties of the same materials are revealed to the utmost. dielectric layers. This method differs from analogues in that it entirely excludes the etching of conducting and dielectric films deposited on different levels. the output is increased. Besides. the application of expensive and complex equipment is eliminated. ACKNOWLEDGEMENTS The author is indebted to International Scientific and technology Center. true additive method of formation of multiple conducting. GaAs. besides to decrease sharply the consumed power of computer technique. The invention simplifies and makes cheaper the technology of fabrication of photomasks with submicron size elements. are also increased. Selective semitransparency of submicron masking elements in the visible region of the spectrum that guarantees the high alignment precision and better application conditions (better performance characteristics). to increase the speed of operation and working range of the frequencies of UHF transducers of surface-acoustic-waves and. the Indivisible State Fund of Social Maintenance and Medical Insurance of Georgia for the support of this work. or other substrate for ULSI is developed. A competitive. 1. 2. High percentage of production output and simplification of the process. contact filling materials and pads on Si. 17. Galvanizirune na Plastmasi.N. C. 144 (1982). 6. TN.I. Khoperia. Minsk. Moscow. Kyoto. Khoperia and A.V. Electroless Metal Deposition in Agueous Solution (in Russian). 144 (1985). Meeting of the Electrochemical Society. Lyon. The 193rd Meeting of the Electrochremical Society. Petrov. L. Shalkauskas. Zedginidze. Khoperia. Electrochem. T. ed. 11. 19. Meting of the International Electrochemistry.G. 239.L. Switzerland. Sofia (1982). 14.N. Zedginidze. 13. Jishkariani. Moiseev. May 5-10. Khoperia. Khoperia.T. 1. Los Angeles.A. T. Leningrad. Journal Priborostroenie. 18. Physical-Chemical Bases of the Process of Electroless Cobalt Plating (in Russian). 462 (1989). Montreux. Moscow N9.. Acta.J. Abstracts.B. 5. 375 (1996). Saulgau. Abstract N 261 (1998). Berlin. 2985-3005 (1997). Chiu. R. A.N. Chemishe (Stromlose) Vernicklung. Abstracts. T. WMrttenberg (1974). G. 12. 8.N.N. ed. Moscow. Gaevskaya and L.I. N.I.M.J. Ulanova. Proceeding of the 1 0 th World Congress of Metal Finishing. Kharaty. G. 2. 2.Khoperia. Abstract K. 56. Extended Abstracts.I. T. Proceedings of the International Conference Micro Materials.V. T. P.N. V. Monograph. Gavrilov. 29-31 (1961). 219 (1974).V.L. Nikiforova. Khoperia and R. J. "Khimia". Extended Abstracts. Zedginidze. 16. A. Abstr. Plating. T.G. T.V. G. Electrochemical Society Proceedings Volume 99-9 359 . Kharati. Tabatadze. 4. 136. Belarussian State University. San Diego. Electroless Nickel Plating of Nonmetallic Materials (in Russian). 33th Meeting of the International Society of Electrochemistry. Soc. T. T. Gorbunova. April. Tabatadze. France. M.T. Chem.T. Kyoto. 4 2 nd 4 2 nd 4 0 th Meeting. Electroless Metallization of Plastics (in Russian). 6825g (1962). 3. Ivanov.N.N.Khoperia. TN. ed. Ting.REFERENCES 1. California. Vorobjeva. Technika. T. Stepanova. 42. T. T. M. 7. "Metalurgia".I. T. M. 270 (1987). Meeting of the International Society of electrochemistry.Russ. 42.A. 818-823 (1997). 59.P. Tabatadze. 247. . 9. Sviridov. Sadakov. Khoperia. Romankiw. Pai. Khoperia. 3049-3055 (1997). 232-235 (1972).H. Romankiw. 3. Paunovic. K. 15. Acta. T. T. T. of the Society of International Society of Electrochemistry.J. 10. T. L. Vashkialis. 1297-1298 (1989). 2-1 (1991). 147-151 (1980). Abstracts. "Nauka". Electrochim. Montreux. Abstract PL 2 (1991). Switzerland.N.. Kh. Electrochim. 401-403 (1982). Osaka. Khoperia. V. The Electrochemical Society Softbound Proceedings Series. T. Abstracts #308 and # 475 (1999). Masuko.I.N. Electroless Plating: Fundamentals and Applications. 360 Electrochemical Society Proceedings Volume 99-9 . Moscow. 30. 17-19. Proceeding of the International Symposium Surface Electrochemistry. 22. The 195t" Meeting of the Electrochemical Society. 281-282 (1993).V. C. Spain. Russ.N. Ito) 69-91. Ito) 3-17. GO.N. M. NJ. Tabatadze.Hajdu. C. Japan. Jdanov. T. 27. Edited by M. 306 (1989). Alicante.Khoperia. 16. Uzoh.B. Khoperia. France. Kodansha &Gordon and Breach. T.Khoperia. Editors. 49. Khoperia.N.I. Pailodze. Balashova. 95-96 (1997). 29. C.Sh.N. A. Izdatelstvo "Nauka". Glonty. T.Khoperia. Tokyo and Amsterdam (1996).20.N. International Simposium on Electrodeposition and Corrosion Science at Kyushu Institute of Technology.Mallory and J.J.J. Osaka and Y. in Electrochemical Technology: Innovation and New Developments (Edited by N.Sambusetti. 31. Abstracts. Seattle. T. Ferrara. Pennington. Electroless Deposition of Metals and Alloys. J. J. International Conference.V. 28. PV 88-12. Orlando (1990). 25. Z. Zashita Metallov. 741-744 (1977). Khoperia. Osaka.N. Sambusetti. Electrokhimia. Progress in Electrocatalysis. Italy. 13. Russ. 32. T. 702-705 (1975).O. Kawaguchi. 21.J.Ohno. Abstract. T. Khoperia. Paris. Kitakyushu. 26.A. Kuleznova and B. T. September (1998).N. Monograph in preparation. Khoperia. 6. Zedginidze. Replacement of Au and Ag by Ni Alloys and New Competitive Submicron. 23. Tokyo and Amsterdam (1996). Journal Fisichescoi Chimii. Masuko. AESF. Kodansha & Gordon and Breach. in Electrochemical Technology: Innovation and New Developments (Edited by N. 24. 535 (1997). T. Marino. 3. T. The 1997 Joint Meeting of the Electrochemical Society and of the International Society of Electrochemistry. LIGA and Resistless Technologies. Russ.J. T.Paunovic and I. T.V. Ulanova and V. Sullivan. N. T. E. 17351738 (1980).J. Osaka and Y. since these Influence the effective gate channel length. The notch in the undoped polysilicon (p-type) forms due to etch rate differences resulting from polysilicon doping effects(l).NOTCH. on the other hand.com ABSTRACT Vertical gate profile Is the most desirable and can be controlled /obtained by using directionally reactive ion etch for the uniformly doped polysilcon. In order to eliminate the aforementioned issues. Instead. The foot In the n-type polysilicon (n-type) forms as a result of polymer formation on the polysilicon sidewall. Excellent gate etch profiles have also been successfully demonstrated. The isolation regions were defined by the shallow trench isolation (STI) technique.4nm was grown. and then the polysilicon gate electrode was deposited upto 250nm. Dodang-dong. Buchon Kyunggl-do. INTRODUCTION The notch and foot formed during dual polysilicon gate etch need to be eliminated. Kwan-ju Koh Kae-hoon Lee and Jung-wook Shin Etch Engineering Team. a new dual polysilicon gate etch process is proposed in this paper.AND FOOT-FREE DUAL POLYSILICON GATE ETCH Seung-joon Kim. Anam Semiconductor Industrial 222. EXPERIMENTAL P-type(100) Si wafers with a resistivity of 8-10 "cm were used. An n-type region was formed in the polysilicon by implanting P+ ions at 40KeV with 1. A gate oxide film of 5. we find that by using longer breakthrough etch step. This was followed by a 20min anneal at 900°C in a N2 Electrochemical Society Proceedings Volume 99-9 361 . either notched and footed profiles will be obtained for one type of gate while the other having desired profile. we can Improve the etch profiles to close to vertical. In this study. Hong-seub Kim. For the CMOS devices. This Is attributed to the different etch rate and etch characteristics resulting from the different doping levels and species existing in NMOS gate material and PMOS gate material.5E15 Ions/cm 2. Korea 420-130 e-mail: SEUNGJKIM@aaww. same profile of NMOS gate and PMOS gate are difficult to achieve simultaneously. Wonmi-gu. E) step. we used HBr. The unexposed portion gets a much thinner layer of polymer. thus eventually creating a notch.E step and/or c) Improving the ion directionality during the O.E. it was decided that the optimum process to achieve a notchand foot-free profile Is a combination of increasing the etch time In the SF6 based B.E and O. RESULTS AND DISCUSSION Fig.E step. thus 362 Electrochemical Society Proceedings Volume 99-9 . which Is a well known polymer forming gas.E step only. b) delaying the end point (EP) in the M. the n-type gets a uniform layer of polymer added on top of the polymer from the M. The n-type.E step. the polysilicon etch rate with SF6 was Independent of doping effects. This results In the formation of a foot In the n-type region after gate etch. in both types of polysilicon.75. resulting from the O.ambient.T step and delaying the EP in the M. To eliminate the notch in the p-type. is well protected from the reflected ions. In this process. the oxide Is exposed in the n-type region but some polysilicon remains in the p-type region. however.T) step. we considered. Since doping affects the etch rate of polysilicon. From this point on. etched with the conventional etch process. Hence. the remaining polysilicon thickness in the ntype was comparable to the p-type and was less than the amount of polysilicon after the B. To minimize the isotropic etch characteristics of SF6 in the B. at the end of the main etch (M. the later the EP in the M. The polysilicon was patterned using a photoresist mask defined by an Iline stepper and then etched using the dual gate etch process on a magnetically enhanced reactive ion etcher (MERLE). With this new process.E step. a) increasing the etch time in the breakthrough (B. the oxide under the p-type polysilicon is also exposed. In the p-type. The third case brings with it the risk of leaving polysilicon stringers in regions sensitive to shadowing from the etch species. vertical profiles were obtained after the B. also reduces the polysilicon to oxide selectivity(2).E step. however. with a SF6:HBr ratio of 1:0. To eliminate the foot in the n-type polysilicon.1-a & 1-b show the notch and the foot in the polysilicon gate profiles.T step(3). we considered reducing the amount of polymer in the M.T step. We discovered that. by the added layers of polymer from the M.E step. for the remaining time in the O. the thinner was the remaining polysilicon in the p-type. the ions are reflected off the oxide surface which attack the thin polymer at the foot of the ptype.T step in the conventional process (Fig. This.E chemistries. 2-a & 2-b). At the end of the first few seconds into the O. At the end of the B.E) step. Also. only the portion of the polysilicon exposed during the M. however.T step.E step by increasing the chlorine partial pressure. we investigated the etch rate characteristics of each gas used in the etch process (Table 1). During the first few seconds of the over etch (O.E step gets a similar added polymer layer. Electrochemical Society Proceedings Volume 99-9 363 .T step time and delayed EP in the M. Vidyasagar Jayaraman (Kilby Center. C.E step.T and M. 3003(1989).. We found that the lesser the remaining polysilicon thickness after B. notch and foot-free profiles were obtained by increasing the probability of endpolnting on the oxide simultaneously in both types of polysilicon (Fig. Scd. J. Electrochem. Highly Selective Reactive Ion Etching of Polysilicon with Hydrogen Bromide. Levinstein. L Y. Vac. 3. Dennis M. Mogab and H. Anisotropic Plasma Etching of Polysilicon. Inc(1989) 2. Soc. 17. J. CONCLUSION The phenomenon of notch and foot formation in the polysilicon has been studied in this work. Plasma Etching. We also found that increasing the etch time of an optimized B. p148. 721 (1980).T step and the lesser the remaining polysilicon thickness difference between the n-type and p-type polysilicon after B.T process is the dominant factor in reducing the notch and the foot. the foot in the n-type was also greatly reduced by the combination of longer B. Academic Press. J. Manos and Daniel L. Similarly. Texas Instruments. J.increasing the probability of reducing the notch.) for his many useful discussions. Technol. ACKNOWLEDGEMENTS The authors would like to thank Mr. Tsou. Inc. 3-a & 3-b). Thus. REFERENCES 1. Flamm.E steps. 136.. the higher is the possibility of eliminating the notch and the foot. 17 1: 0.00 SF6: IBr 1 : 0.1 SEM images using the conventional etch process Table 1 Etch rate characteristics of different as ratios Gas Etch rate Chemistry Mixing Ratio Ratio (n/p) 1.(a) Notch in the p-type polysilcon (b) Foot in the n-type polysilicon Fig.50 1.10 1.31 (a) Notch-free in the p-type polysilicon (b) Foot-free in the n-type polysilicon Fig.00 1: 0.00 1.00 C12:HBr 1 : 0.00 1.06 1 : 0.2 SEM images using the new etch process 364 Electrochemical Society Proceedings Volume 99-9 .16 1 : 0.75 1. 3 SEM images using the new etch process Electrochemical Society Proceedings Volume 99-9 365 .(a) Notch-free in the p-type polysilicon (b) Foot-free in the n-type polysilicon Fig. dry-oxidation. which can be applicable to a wide range of the thickness of the oxide layers. Hirokazu Fukidome. Osaka 560-8531. charge of the current was about 5 x 10.INTERFACIAL STRUCTURE OF Si/SiO2 STUDIED BY ANDIC CURRENTS IN HF SOLUTION Naomi Mizuta. Namely. and by AFM/STM for the surfaces after the oxide layer is removed by chemical etching. interface is crucial in MOS devices. value expected based on the model for the ideal interface. Toyonaka. These tendencies of the change in the The however. which is a little below the The value was lower for the samples prepared by the wet-oxidation than those did by the The value for the samples prepared by wet-oxidation. anodic current is therefore considered to be a useful measure of the quality INTRODUCTION The quality of the Si/SiO. Osaka University 1-3 Machikaneyama. we report a novel electrochemical method for the evaluation of the interracial structure. 366 Electrochemical Society Proceedings Volume 99-9 . Japan When n-Si(ltl)/SiO2 electrodes were immersed in HF solution at The total 2 concentrations under anodic bias. increased by annealing. Si surface is hydrogen-terminated. a current peak appeared. of the Si/SiO2 interfacial structure.' C/cm . anodic current peak to the structure of the Si/SiO 2 interface. The unique properties of Si/SiO 2 electrodes in HF solution have been known in the field of electrochemistry [1-3]. Here. an anodic peak current appears just when Following the anodic current peak. charge agree with the change in quality of the Si/SiO2 interfaces. The interracial structure or the flatness on the atomic scale becomes very important as the demands for The Si/SiO 2 interfacial structure has been studied by TEM. very thin oxide increases. and Michio Matsumura Research Center for Photoenergetics of Organic Materials. the The aim of our present study is to correlate the the Si/SiO2 interface is exposed to the solution. Qcm were cut into 10 x 10 mm pieces. the SiThis can lead to a different process for the 1. converted to the hydrogenterminated one through the cleavage of the back Si-Si bonds. but becomes larger at lower concentrations. After the restructuring of The whole process is releasing electrons. RESULTS AND DISCUSSION Figure 1 shows the typical anodic current profile observed when a Si( Il )/SiO2 electrode is immersed in a HF solution. We define the amount of charge passed during the anodic peak current as QP. The surface is. under the oxide layer is exposed to the solution. on the HF concentration and on the properties of the oxides. the Ag/AgCI electrode. some Si atoms are dissolved into the solution as SiF62 . We started with the studies on the dependence of Q. place. proportional to the thickness of the oxide layer. Figure 3 shows the dependence of Qp. on the HF concentration for the Si(OtI )/Si0 2(1 7 nm) electrodes. In the solutions with relatively high ItF concentrations. schematically shown in Fig. OH may not fully converted to Si-F. This process causes the anodic current. 2. The anodic current flowing at the Si/solution interface was The measured using a Pt counter electrode and an Ag/AgCI reference electrode. and oxidized under several conditions to make samples with different Si/SiO2 structures.5V vs. the surface is terminated with Si-HI bonds [3]. The oxide layer dissolves into the solution in This time period is almost When the Si surface layer existing the time period before the anodic current starts. which we consider to have useful information about the Si/SiO2 interfacial structure. we used Si(100) wafers. For some measurements.5%. The QP is almost constant at concentrations above At low HF concentrations. then. Electrochlemical Society Proceedings Volume 99-9 367 . the interfacial surface forming Si-O bonds is converted to Si-F bonds. the surface. as the result of the replacement of OH with F.EXPERIMENTAL The n-type Si(l 1I) wafers with resistivity of 10 . 2 potential of the Si working electrode was adjusted to +0. the restructure of the surface takes During the period. values. By taking the atomic density of 7. which was observed experimentally. anodic current.5 x 10' C/cm2 .cleavage of the Si-Si back bonds.4 C/cm2 . interface from the ideal one. (No. the dissolution This process produces the However.. If the Si(100)/SI0 2 interface is supposed to have /0/H .. The experimentally obtained values for the Si(l I l)/SiO 2 were normally in the range from 4. which is drawn by combining these pictures. 6 indicate that the QP value approaches the ideal value by the annealing.. It is reasonable that Si(100)/SiO 2 interface has lower Qp than the Si(lll)/SiO2 interface. experiments than the prediction is probably due to the deviation of the Si/SiO. The results shown in Fig. the Sh. obtained was 6./H the Si\ structure and it changes to Si. considering the interracial structures. therefore. 09875211 and No.8 x 10' 4/cm 2 for the Si(ll I) surface. these values are in good agreement with the The lower Q. 1 surface is too crowded to form stable surface. we have not made the of the lower level layer follows to form the stable surface. the amount of charge released during the process is calculated to be 7. surface is still controversial. especially for those of the wet-oxidation [5]. Generally. and.5 x 10. we used 2% HF for the measurements.8 x 10-4 2 The highest value so far C/cm . In the following It is proposed that the oxidation of the Si(l 11) surface proceeds in the layer-bylayer fusion [41. ACKNOWLEGDEMENTS correlation between the QP and the model. and Culture 368 Electrochemical Society Proceedings Volume 99-9 .5 x 10' C/cm2 to 5. Science. It is also known that the Si(I II) surface is flattened on an atomic scale after the wet treatments with ammonium fluoride solutions or with pure water. Watanane of Fujitsu Research Co. for allowing us to use the oxidation furnace at his laboratory. 10131245). However. studies. It is known that the Si(1I1l)/SiO2 interracial structure is improved by annealing. Sports. 5. values obtained by the value expected from the interfacial model.C/cm 2 . different Q. the Q. because the structure of the stabilized We thank to Dr. Figure 4 gives the restructuring process of the interface. This study was supported by Grants-in-Aid for Scientific Research from Japanese Ministry of Education. To avoid this hindrance. The QP value for the Si(100)/Si0 2 interface was about 3. becomes null. as shown in Fig.5 x 10. Hahn. 142.O. and T. 142. Sci.. Electroanal. Rappich and H. Matsumura and S.J. Chem. Appl. M. H. [5] P. Sekikawa. and M.. Fukidome. Matsumura and H.. S. 2683 (1996). 147. Electrochemical Society Proceedings Volume 99-9 369 . J. J. [4] A. Electrochem. J.. Leverenz. Soc. 1233 (1995). 143. Surf.. Sci. Surf. [3] J. 127 (1997). Morrison. Electrochem. 157 (1983). Yokohama. Hattori.REFERENCES [1] [2] M. 117/118. R. 545 (1984). Henzler. Omura. Soc. HHHHHH Si Si Figure 2.70 60 +0. 370 Electrochemical Society Proceedings Volume 99-9 . Changes in the structure of Si/SiO 2 in HF solution. SiO 2 Si H FFFFFFF!E.5V vs Ag / AgCI 0 5 • 40 <Oxide O 1% HF 3nm * C 30 a) 2 20 = 10 10 0 " 0 10 30 20 Time / s 40 50 Figure 1. Ag/AgCI.5 V vs. Anodic peak current observed by immersing an n-Si(1 I 1)/SiO 2(3 nm) electrode in 1% HF solution at 0. Y- . Dependence ofQ.- Restructuring of Si(l I l)/SiO2 interface in HF solution. Electrochemical Society Proceedings Volume 99-9 371 . 0: Si atom 0 atom 0: H atom Si F 2 6 Figure 4.30 25 E 20 •b15 to 5 0 1 2 HF concentration (%) 3 4 Figure 3. on the concentration of HF. .4 5.6 0 -4. 372 Electrochemical Society Proceedings Volume 99-9 . values obtained for the Si(11 l)/SiO 2 electrodes with as a function of the SiO2 thickness.9 4.0 5.0 Dry 02 % Wet 02 4. 5.2 E4.4 5.2 4.5 0 Wet 02 20nm 50 100 annealing time! min 150 Figure 6.6474"82" 4.0 0 20 Si0 2 40 thickness / nm 60 80 Figure 5.8 r4. Q.3 .2 E 5. 5.1 - g 4.5. Effect of annealing at 900 on the QP for the Si(1 I 1)/SiO 2(20 nm) prepared by wetoxidation. It is also reported that the surface can be flattened in alkaline solution when an n-Si wafer is polarized cathodically[2]. and found that dissolved oxygen has a strong influence on the properties [5]. As the Electrochemical Society Proceedings Volume 99-9 373 . Monohydride silicon is considered to be more stable than dihydrode and trihydride silicon on the surface. However. The concentration of sulfite is 0. INTRODUCTION It is well known that the Si(l 11) surface can be atomically flattened and hydrogen terminated by the treatment with NH 4 F solution [1]. Then. when it was treated with 40% NH4F solution containing dissolved oxygen. Si( 11l) surface was not flattened.EFECT OF DISSOLVED OXYGEN ON SURFACE MORPHOLOGY OF Si(l11) IMMERSED IN NH 4F AND NH 4OH SOLUTIONS Hirokazu Fukidome and Michio Matsumura Research Center for Photoenergetics of Organic Materials. oxygen dissolved in the soluitons were concluded to have the passivation effect of the Si( 11) surface.and p-type Si(1 11) surfaces in 40% NH 4F and 2. Nanoscope I11a). Dissolved oxygen was purged by bubbling high-purity nitrogen-gas into the slutions or by addition of sulfite ion into solutions. straight and parallel steps were formed on the surface[5]. while it was atomically flattened if oxygen was removed from the solution. We also found that sulfite ions are very efficient deoxygenator for the NH 4F solution and affect the electrochemical properties of Si electrodes [5] and also the flattening process in the solution [51. Osaka University. GC-14B). Osaka 560-8531. monohydride steps appeared regardless of the existence of dissolved oxygen.5% NH 4 OH solutions were investigated. 1-3 Machikaneyama. Amounts of H2 evolved from solutions as the result of the dissolution of Si was quantitatively determined using a gas chromatograph (Shimadzu. For n-type Si(l 11) slightly misoriented in the direction of [112]. The surfaces of the samples were imaged with a tapping mode AFM (Digital Instruments. RESULTS AND DISCUSSION When the Si( 111) surface with a misorientation in the [112] direction was treated with 40% NH 4F with and without oxygen. In alkaline solutions containing dissolved oxygen. This was in contrast to the appearance of monohydride steps by the treatment of the surface with 40% NH 4F solution without dissolved oxygen. monohydride steps appeared on the surface when flattened on the atomic scale. followed by the removal of the oxide by HF treatment. Japan Dissolution processes of n. sampleas were immersed in 40% NH4 F or NH4OH solutions.05 mol/l. Usually. 4]. These steps are assigned to the monohydride steps. straight dihydride steps were formed on p-type Si(I 11) slightly misoriented in the direction of [112]. Toyonaka. We have studied the electrochemical properties of n-Si in fluoride-containing solutions [3. EXPERIMENTAL Samples were firstly cleaned by the RCA method. From the measurements of the rate of dissolution of Si(l 11) surface and anodic current. Although alikaline solutions are also good etchant of Si. the 4 concentration of oxygen was about 0. On the flattened Si(I 11) surface formed by the treatment with alkaline solutions without dissolved oxygen. or the solution equilibrated with air. only mono-hydride steps are formed. The appearance of dihydride steps by the treatment with oxygen-containing 40% NH 4F suggests that dihydride steps are preferentially passivated by oxygen. These steps are assigned to monohydride steps. it is difficult to get flat Si(1 11) surface on the atomic scale by the treatment with these solutions [2]. The concentration of oxygen in this solution was estimated to be lower than 5 ppb. we found two results relating to the effect of oxygen on the wet-etching of Si(1 11). Such flattend surfaces were also obtained using pSi(1 11) wafers. dihydride steps appeared on the surface. Interestingly. However.1 ppm. as seen in Fig. However. it was found that the oxygen dissolved in the solution slows down the flattening rate of n. When the content of oxygen is high. the anodic current of n-Si(1 11) electrode. zigzag steps were observed on the surface. The surface treated with the solution from which oxygen was removed by sulfite ions show atomically smooth terraces and very straight steps.and p-Si( 11) surfaces in the solution are monohydride steps[6]. Although further studies have to be done. however.and p-Si(1 11) surfaces. which evolves with the dissolution of Si into the solution. Second. 1 (b). The result that the dihydride stpes appear only on the p-Si( 111) surface suggests that holes accelerates the dissolution of the step edges or kinks on the dihydride steps. 3. For example. is lowered by the presence of oxygen in the solution. ACKNOWLEGDEMENTS This study was supported by Grants-in-Aid for Scientific Research from Japanese Ministry of Education.result.5% NH1OH with 4 different concentrations of dissolved oxygen. First. Figure 1 (a) shows the AFM image of such a surface with dihydride steps. as shown in Fig. it is concluded that oxygen dissolved in the solution affects the surface morphology of the flattened p-Si(1 11) surface. which relates to the etching and flattening process [5]. we found that when the p-Si(III) surface slightly misoriented in the (112] direction was treated with 40% NH 4F with dissolved oxygen. Sports. 2a).5% NH 4 OH solution fell almost 50% by the dissolved oxygen at the concentration of 9 ppm. In contrast. the steps formed on the flattened n. We tried to elucidate the reasons for the specific effect of dissolved oxygen on the morphology of Si(1 11) surface after the wet processes. and Culture (No. These two results suggest that oxygen dissolved in the solution has the effect to passivate the Si(I11) surface. Hence. 374 Electrochemical Society Proceedings Volume 99-9 . The rates can be determined by monitoring hydrogen. Science. 2c. flattened surface cannot be obtained even after a treatment for a long period (Fig. atomically smooth terraces and monohydride steps appeared on the surface by lowering the content of oxygen. the rate of the dissolution of Si( 1111) into the 2. when the surface was treated with 40% NH4F without oxygen. 09875211 and No. we found that the surface can be easily flattened if oxygen is removed from the alkaline solution.5% NH-OH solution bubbled with nitrogen gas. Figure 2 shows the AFM images of the n-Si(1 11) surfaces after treatment with 2. The formation of flattened Si(1 11) surface by the treatment with alkaline solution has only been reported under the application of cathodic bias[2]. as shown in Fig. Figure 2b shows the surface after the treatment with the 2. 10131245). we found that the etching rate of Si(l 11) is lowered by the oxygen dissolved in solutions. In addition. J. J. Raghavachari. Electrochem. 56 (1990) 656. Fukidome.. Surf Sc. H. Fukidome and M. 144. J.REFERENCES 1. Appl. 2. Gerischer. Electrochem. G. P. Trucks. W. Phys. G. H.. Higashi. 143. Fukidome and M. Matsumura. S. 2683 (1996) 4. 1008 (1993) 3. J. 130-132. Matsumura and H.. Matsunura.Soc. 140. and K. Lett. Allongue.Soc. Y. 146 (1998) Electrochemical Society Proceedings Volume 99-9 375 . H.. Kieling.Soc. Chabal. V. Appl. M. 679 (1997) 5. Electrochem. (a) (b) Fig. 376 Electrochemical Society Proceedings Volume 99-9 . Scan areas areS00 x 500 nm2 . 1 AFM images of p-type Si(l 11) slightly misoriented in the direction of [-1-12] treated with 40% NH4F. without oxygen (b) where oxygen was removed by sulfite ions. with oxygen (a). without oxygen by bubbling high-purity nitrogen gas (b).(a) (b) (c) Fig. Electrochemical Society Proceedings Volume 99-9 377 . 2 AFM images of n-type Si(l l1) slightly misoriented in the direction of [1121 treated with 40% NH 4F. without oxygen by addition of sulfite ions (c). Scan areas are 1000 x 1000 nm2. with oxygen (a). .. .. Il ................. 378 Electrochemical Society Proceedings Vohlme 99-9 ..... .......... 60 ..... . ....... Wi t Ox g I................ ........ ....... ........................... iI WthOxygen ... .... :.......t....... "4j 70 4 -............... . i!!i .....250 300 Time (s) Fig....... i .......•-t:-' 10 .......... . .... . .............. ......... "''. Without oxygen _ -............. 3 Anodic current of n-Si(I 11) in 40% NH 4F solutions with and without oxygen.. .................. .. ........... ........ .... ... .... ............. 70...... .... t i i ...........3 0 50i 40 ............. ..... : 7 0 " ' " .... . ........ ...... .. o 0 L~~ ''-i 0 50 100 150 200 ..................... .. ...... . ......=....-" E......................... ... S/ .... ...................... : ]. Vigneron. etc.POROSITY AND SURFACE ENRICHMENT BY TELLURIUM OF ANODIZED p-Cd0 .05 Te becomes porous. and A. p-Cdo. Mathieu. We reveal that after anodic treatment in acidic solution. we investigate surface changes at p-Cdo. France ABSTRACT By anodic treatment in acidic solution. In the present work.Cd. for polishing. Debiemme-Chouvy. and the layer could also be responsible for changes observed in the photoelectrochemical properties.0 5Te. Universitj de Versailles St-Quentin-enYvelines. As these materials are mechanically fragile and cannot be heated much above room temperature. 95 Zno. surface passivation. Two important examples are the infrared-absorber Hg 1 -xCdjTe and the material on which it is usually epitaxially grown.05Te by cyclic voltammetry. 95Zn 0 . A major complication is that almost any wet surface treatment will cause the surface to become enriched with the II or the VI element [1]. Electrochemical Society Proceedings Volume 99-9 379 . p-Cd 0 .F-78035 Versailles. J.H.g5Zn 0. The role of the tellurium layer in the etching mechanism and the effect of the layer on the photoelectrochemical properties are discussed. C. their industrial surface preparation relies heavily on (electro)chemical treatments.Zn)(Se. Electrochemical studies are therefore crucial in order to improve the understanding of the wet (electro)chemical behavior of II-VI materials.Te) family. as when CdTe is exposed to Ce4+ etching solutions [2]. Ern6. coupled with surface analysis by X-ray photoelectron spectroscopy (XPS). The processes which lead to these changes in surface stoichiometry often involve charge transfer between the semiconductor and the electrolyte solution. p-Cdo. 95Zno. C. 45 Avenue des Etats-Unis. Coulometry and chemical analysis by X-ray photoelectron spectroscopy indicate that the pore walls are covered by a more or less homogeneous layer of elemental tellurium. with elemental tellurium on the pore walls. Etcheberry Institute Lavoisier (IREM) UMR CNRS CO1 73. INTRODUCTION Present-day infrared detector technology is based on semiconducting materials from the (Hg.osTe B. 05 Te is porous. A passivating effect of this layer could explain why anodic etching of the p-type material yields a porous morphology.95Zn0 . Air exposure was avoided during transfers by using an argon-filled glove box. Potential cycling was interrupted repeatedly for surface analysis of the electrode by XPS.05 Te with a dopant density ofrl0 cm" .0- ii) Te 3dS1 2 ' 2.64 V vs SHE).0-1.5 M H2 S0 4 under illumination.0 -0. 95Zno. the only cadmium atoms detected were those present inside the p-CdO. The transfers to ultrahigh vacuum did not appear to have an effect on electrochemical behavior. b -2.0 (b) and 1. Spectral shape changes because the ratio of elemental tellurium to tellurium inside p.5 M H2SO4.5 (a) to 2. 9 5Zno. the shape of the Te5 /2 signal did change.05Te working electrode. followed by 0. In contrast. al Q 0. XPS spectra of the 3d5/2 tellurium level recorded after prolonged polarization at different points of the cyclic voltammogram (Fig. A voltammogram is shown in Fig. A classical three-electrode setup was used. 1). with a p-Cdo. (a).5 ElVvsIVISE 10 574 573 572 Binding Energy/eV Figure 1. a polished p-CdO.05Te crystal.01.0'.0 5 Te in 0.q 5Zno. 1 M KOH) is only 3.0- b Aa Z'. (b). Gold ohmic contacts were obtained at room temperature by electroless deposition. 05Te in 0. monochromatic Al K.05Te surface (treated with Br 2/CH 3OH.0-1. from 0. and (c) refer to points where measurement of the voltammogram was interrupted for XPS analysis (see Fig. 1 and the corresponding XPS spectra in Fig. SURFACE CHEMISTRY Cyclic voltammograms were recorded for p-CdO.5 00 0.05 Te changes. due to variations in surface coverage by elementary tellurium (Te').4 (c). 380 Electrochemical Society Proceedings Volume 99-9 . 9 5ZnO.Cdo. X-ray radiation). Initially (a). since for all the experiments. Cyclic voltammogram for p-Cdo.EXPERIMENTAL Experiments were carried out at room temperature on (100)-oriented p-CdO. and a saturated mercurous sulphate reference electrode (MSE = +0. XPS surface analysis was carried out using a VG ESCALAB 220i-XL (5 10"9 Torr pressure. 95Zno. The Cd5/2 signals are not shown. a platinum counter electrode.9 5Zn0 . 2. Figure 2. 2). 95Zno. suggesting that the Teo layer thickness is only a few nanometers. the more Te' is obtained at the surface of the electrode. The porous layer is obtained below the initial surface. 3.0 5Te. POROUS MORPHOLOGY The apparent discrepancy between coulometry and XPS analysis is explained by the porous morphology of the anodized material.0 5Te after prolonged anodic etching (total anodic charge 66 C cm 2). the amount of Te' detected coulometrically corresponds to a layer which is several microns thick. Electrochemical Society Proceedings Volume 99-9 381 . This is clear for Te° produced by etching in a Br 2 solution.95Zno. Porous features are observed on the scale of several microns and on the submicron scale (Fig. Fig. the retrieval depth of electrons. Figure 4. which remains largely unaffected (constant zposition.95Zno. After prolonged anodic treatment. in electrical contact with the non-porous substrate. 95 Zno. A cross section of anodized p-Cdo. 3b). 05Te. Coulometric analysis of the cathodic peak related to the removal of Te" suggests that the longer the anodic treatment. with a uniform layer of Te' at the surface of the pore walls. the XPS signals continue to have a strong contribution from p-Cdo. Cathodic treatment removes Te°. In contrast. Coulometry detects all Te° on the porous surface.05Te is examined in Fig. However. This is illustrated schematically in Fig. Schematic illustration of the porous layer etched into pCdo. probe depth 4 - N Figure 3. 3a). 4. 95Zno.slightly enriched with elemental tellurium (Te°) at pH 0. Anodic treatment (b) causes anodic dissolution and growth of a thicker Te' layer. XPS analysis probes only the first 10 nm of the sample. but Te° obtained anodically is removed less completely (c). The amount of Te° is more or less linear with the etching time. Scanning electron micrographs of the porous layer (PL) revealed by a cross section of p-Cd0. Te° is present on the pore walls. 5 0 cm for 0. The third condition means that the dissolution rate is very low at the pore walls. at pore tips). 3a of a porous layer with a largely unchanged initial surface is important information about the dissolution mechanism. the CdTe-like material dissolves in two steps.e. It implies that three requirements are fulfilled: (1) the etching rate is kinetically determined and anisotropic.5 M H2 SO 4 [7].0 5Te could therefore be related to a partial surface passivation process occurring at the same time as anodic etching. The result is electropolishing. much higher than that of the electrolyte solution. When the surface is partially passivated by an oxide layer. An alternative explanation was proposed by Wehrspohn et al. The reason is that for a p-type material. It therefore seems plausible that the etching rate of p-Cd 0 . It was observed during photoanodic etching of n-type CdTe. else the boundary of the porous layer would not remain at the same z-position as at the start of porous etching. Conditions for that mechanism are fulfilled in our case. At pH 0.e. 95Zn0 . In this way. an instability in the spatial distribution of the electric field can lead to macroporous etching. etching may just occur at sites where the electric field is sufficiently high to break through the oxide (i.The observation in Fig. thus passivating the surface of the pore walls. Examination of the microscopic dissolution mechanism suggests what this partial passivation process could be. the pore walls). at least when the dissolution products dissolve easily in the electrolyte solution.05 Te has a resistivity of 2000 0) cm. such as at dislocations. p-type GaAs does not become porous during anodic etching at pH 0 [3]. and (3) pores stop to widen once they have been created. This condition is not generally fulfilled with p-type electrodes.. which does not become porous [5]. since etching starts at selected "weak spots" of the initial surface. Etching can thus clearly stop at Te'. 95ZnO. 382 Electrochemical Society Proceedings Volume 99-9 . so that pores propagate once initiated.HTeO 2+(aq) + 3 Ht (aq) * (2) A Te' phase is known to accumulate at the CdTe surface during anodic etching [5]. porous etching of p-type GaAs has been observed at pH values where surface oxides are present [3]. holes are abundant-they are the majority electrical carriers-so that etching occurs across the entire surface and pore walls are not stable. under those conditions. (2) the etching rate is highest where the electric field is highest.. For instance. The porous etching of p-CdO. while the rest of the surface remains passivated (i. The situation is different under conditions where the dissolution products are not very soluble.0 5 Te could locally decrease as the local thickness of the Te' layer increases. indicating that step (2) is slower than step (1).[6] for the porous etching of p-type materials under conditions where the resistivity of the semiconductor material exceeds that of the electrolyte solution. as the p-Cdo. with elemental tellurium as an intermediate [4]: 2+ CdTe + 2h -->Cd 2+(q) +Te' (1) Te' + H20 + 4 h+ -.95ZnO. due to geometrical enhancement of the electric field at the pore tips. close to the direct bandgap of the material. Nanoporous etching of silicon causes the change from weak luminescence in the infrared range to strong luminescence in the visible range [8]. we will focus on the effect of porosity on the photoelectrochemical properties. and (b. Further study is required in order to conclude on the exact cause of the photocurrent peak.1 d _00 I 700 500 wa velength / nm 900 Electrochemical Society Proceedings Volume 99-9 383 . 95Zn0. 5 is observed. spectra of p-Cd0. 5 (uncorrected for the lamp spectrum).05Te is shown in Fig. but in that case. The photocurrent yield drops across the entire spectral range.5 M H2 S0 4 at -1 V vs MSE (hydrogen evolution) (a) before anodic etching. A weak light intensity was used so that the total cathodic charge during spectral recording corresponded to less than 1 mC per cm initial surface (detection using a chopper and a lock-in at 60 Hz). Microporous etching of gallium phosphide was recently shown to lead to strong photonic effects 19].c. The decrease in photocurrent is probably due to the appearance of Te' at the surface.PHOTOELECTROCHEMICAL PROPERTIES Porous etching of semiconductors often leads to fundamental changes in the optoelectrical properties. it was demonstrated that porous etching can cause an enormous increase in the subgap photocurrent quantum yield [10.111. and 60 seconds at + 1 V vs MSE porousb etching).95Zn0 . but a peak is observed at about 810 nm. 30.05Te in 0. CdTe is not porous and no photocurrent peak as that in Fig.d) after respectively 4. The effect of porous etching on the photoelectrochemical properties of p-Cd0. especially at low wavelengths [5]. Cathodic photocurrent Figure 5.2 C 0. A partial explanation could be that the peak in Fig. It was demonstrated with n-CdTe that a planar Te' layer at the surface of CdTe decreases the photocurrent by absorbance of the light. I a0. With gallium phosphide.11]. Here. 5 results from two opposing effects. the photocurrent-lowering effect of absorbance by Te° at low wavelengths and the photocurrent-enhancing effect of porosity at high wavelengths [10. 145. Electrochem. and J. Masson. A. R. P. France) for the p-Cdo 9sZno o5Te. E. Iranzo-Marin. 8. Nature. 11.. ACKNOWLEDGEMENT We thank A. 141 (1999). R. D.. 305 (1996). and C. Vol. 3.H.J. Cryst. 4. I.G. Chem. Erad. 15198 (1995).. 353. Lagendijk.95Zn0.B. Vanmaekelbergh. D. J. Ozanam. Soc. and C. Adv. and F. Vitus. M. Pascal Editor.. Soc. Grenoble. Iranzo-Marin.-N. 9. and A. B. Acta. Schmuki. F.Chem. 739 (1995). Vedel. Graham. 143. 3316(1996).. Electrochem. 13-2. 211 (1997). the layer stabilizing pore walls against further dissolution. Debiemme-Chouvy. Isaacs. 1358.. Schuurmans. and J. Phys. C. A. J.P. in Nouveau Traitj de Chimie Minirale. 5.J. F. 10. Triboulet. F. van de Lagemaat. p. 213 (1998). J. A. Electroanal. 42. Vigneron.CONCLUSIONS Anodization makes low-doped p-Cd0. Soc.T. Chazalviel. 2. 184/185. Fraser. Million (LETI/CEA. Etcheberry. 99. 335 (1991). 179 (1987). Kelly. Kelly. 143. Gdrard. J.M. A passivating effect of the tellurium layer on the pore walls could be largely responsible for obtaining a porous morphology.H. leading to a peak in the cathodic photocurrent spectrum at a wavelength close to the direct bandgap. 7. R. Etcheberry. Novakovic. Vanmaekelbergh. Mater. Lincot. 284. 6. 220. Wehrspohn. Ernm. Growth. Paris (1961). D. REFERENCES 1.J. 384 Electrochemical Society Proceedings Volume 99-9 . Electrochim. and A. Vanmaekelbergh. J1 Electrochem. R. 2958 (1998). J. Etcheberry. Vigneron. Science. C.S. Debiemme-Chouvy. F. Porous etching affects the opto-electrical properties. Debiemme-Chouvy. 7. J.J. Cullis and L. J. Canham. J. and the surface of the porous network appears to be covered everywhere by about the same thickness of elemental tellurium. Pointeau. J. J. Iranzo-Marin. D. B. Triboulet. Lincot and J. P.05Te become macroporous. and H. D. 2 1 Te interface has an important effect on the CdHgTe detector performances. St-Benoit Cedex. Dominique Ballutaudc and Robert Tribouletc.2 1 Te. The oxidized layer has to be processed at low temperature (<380 'C). CNRS. The conditions of oxidation have been optimized. such as oxygen plasma treatments. Several oxidation techniques may be considered. But the conditions of oxidation may affect the microstructure of the oxide/Hg 0 . accumulation or inversion.7 9 Cd 0 . and to present good mechanical properties (adhesion).21Te interface and the underlayer electronic properties.Passivation Processes of Hg 0 .CLaboratoire Physique des solides de Bellevue. aSA GEM SA. France.5 eV at 300 K) itself and may give rise to charge depletion. The plasma treatment leads to a degraded interface and the anodic oxidation should require a modification of the detector achievement process. 78035 Versailles Cedex.86281. Debiemme-Chouvyb. to lead to a stable interface. Frank Lefevrea.basic solution is studied in this work. The chemical oxidation process of Electrochemical Society Proceedings Volume 99-9 385 . The electrical properties of the interface are evaluated from MIS devices. electrochemical anodic oxidation or chemical oxidation.45 Avenue des Etats-Unis. INTRODUCTION In Hg0. Dominique Loransa. 26 avenue des Hauts-de-la-Chaume. to be reproducible. to passivate the surface defects. France.7 9 Cd 0 . ABSTRACT Mercury cadmium telluride (HgCdTe) is a direct bandgap semiconductor widely used as a material for infrared detectors due to his narrow variable band gap. The chemical growth of a passivation oxidized superficial layer in an aqueous Fe(CN) 6 3. By oxydation process the surface is removed. 7 9 Cd 0 . Consequently the properties of the passivation layer/Hg0. I place Aristide de Briand92195 Meudon Cedex. 7 9 Cd 0 . France. The achievement of high-performance detectors depends critically on a low surface recombination velocity of the minority carriers. the band bending due to the surface potential is of the same order as the narrow gap energy (2. A. Etcheberry. bIREM-UVSQ. The depth profiles of the different elements in the oxidized layer superficial layer and its thickness are studied by Xray photoelectron spectroscopy.2 1 Te by Oxydation in Basic Media. C. EXPERIMENTAL The investigated Hg 0 .2 1 Te were carried out on a Leybold Heraus XPS spectrometer with a hemispherical analyser.10-6 mbar). After the oxidation treatment. 79 Cd 0 . The n-type doping level of Hg0. some samples were partly masked in order to measure separatly the etching rate and the oxide growth. The electrical properties of the oxide layer/Hg0.basic solution is presented in this work and an oxidation mechanism is proposed. 5. Photoelectrons were excited by the MgK• radiation. For comparison. 79 Cd 0 .2 1 in an aqueous Fe(CN) 6 3 . The oxides were grown at room temperature using a Fe(CN) 63 basic (KOH. 79 Cd0 . The sputter depth calibration was obtained by measuring the step on the edge with a TENCOR profilometer.2 1 Te.21 Te were analysed as a function of the stirring rotation speed. The XPS analyses performed to determine the chemical composition and the thickness of the oxidized superficial layer on Hg. then etched by a bromine-ethylene glycol solution.Hg0. 79 Cd 0 . Sample etching occuring simultaneously with oxide deposition. The etched depth was measured with a TENCOR profilometer. a MIS structure was performed by deposition of ZnS directly on Hg0 . The crystals were mechanically polished. 79 Cd 0 .7 1015 cm-3 . Sputter profiling of the surface was performed with Ar+ ions (3kV. 79 Cd0 . the atomic sensivity factors used for the element concentration calibration were empirically obtained from a Hg0. These profiling results provide a qualitative understanding of the oxidized layer stoichiometry.2 1 Te was 2. pH about 11) aqueous solution under various stirring conditions (rotation). The different types of oxides grown on Hg 0 . They were cut into wafers and the prepared surface (Hg-Cd face) was orientated following the <111> direction.79 Cd 0 . Due to the different sputtering rates observed on HgCdTe ternary compounds [2].21Te interface were analysed in the dark by C(V) measurements at 1MHz. 79 Cd 0 .2 1 Te reference sample prealably etched by a bromine-ethylene glycol solution and sputtered ten minutes with the same ion beam parameters with the assumption that the values were the same in the oxidized layer and in the sample bulk. 10 mA. 386 Electrochemical Society Proceedings Volume 99-9 .2lTe samples were single crystals grown by the Travelling Heater Method (THM) technique [1]. The MIS structure was achieved by a gold grid. the samples were transferred into the analysis chamber. rotation speed on Table 1: Etched thickness and oxidized layer thickness as a function of the rotationspeed (treatmentduring 4 min).7 eV. It exhibits a peak maximum at 405. It can be observed that the oxidized layer is highly depleted with mercurium and tellurium. mercurium and oxygen in the same sample calculated from the XPS spectra of Te3d5/2. 79 Cd 0 . Hg 4 f-/2 and 0 Is levels obtained after oxidation without stirring (figure 1) and with stirring (150 s. is reported on figure 3. XPS results Figure 1 and 2 show the concentration profiles of tellurium. while for a 900 A sputter depth. with a full width at half maximum (FWHM) of 1. The error on XPS profiles comes mainly from roughness and layers mixing. The thickness of the oxidized layer obtained is about 100 A in the first case and 700 A in the second case. obtained for a 400 A sputter depth. cadmium. The XPS Cd3d5/2 spectra corresponding to figure 2. Stirring Rotation Speed rot/min 0 66 84 129 168 Etched Thickness nm 70 120 200 210 240 Oxide Layer Thickness nm 50 100 180 200 160 The results show that for all rotation speeds the etched thickness is higher than the oxide layer thickness.10 eV. 66 rot/min) (figure 2). and that it presents a large excess of cadmium. curve a. Cd3d5/2.21 Te oxidation are presented in table I. The combination of etching and oxide growth during the same process step allows to perform in the same time decontamination of the surface and growth of the passivation layer.RESULTS Effects of the stirring speed during oxidation The results concerning the influence of the Hg0. Electrochemical Society Proceedings Volume 99-9 387 . For speeds higher than 168 rot/min the thickness of the oxide layer is not homogeneous and it does not stick. .. 40 .. 388 Electrochemical Society Proceedings Volume 99-9 . (a) at the surface...3 ...0.-- Te 3d 52 f.. .... (c) in the bulk.V Figure 3: XPS analysis of the core level Te3dS/2 in the oxide layer. 3100 2680 2114 600 588 586 564 582 580 578 576 574 572 570 568 ..... 0 0 100 200 30 Obepth A 400 Figure 1: Depth profiles of the elements in the oxide layer (oxidation without stirring) O"-7 - Ols 3d52 Te 3d5/2 (ox) S..•600 thA 1000 .. . .4 CL 0......6 S0.3 Q2 0 ...66 rot/min)).-...=Cd 3d - S0 .. 0.."• 4H " " - -Ols -HgMf 4 0.2 -.. (b) in the oxide layer.50. 0 200 .H4f7/2 Figure 2: Depth profiles of the elements in the oxide layer (oxidation with stirring..Te 3d (ox) Te 3d . .. 2 1 Te species. Elementary tellurium has been previously evidenced by some of the authors at the surface of CdTe oxidized in a C4+ or H2 SO 4 solution [3][4]. curve c). Considering the low mercurium concentration. then. Varying the stirring speed modifies the competiton between these two mechanisms.79 Cd 0 . (figure 3. The fact that the composition of the oxidized layer is mainly governed by cadmium and oxygen can be explained by the different solubilities of oxidized cadmium. this same binding energy being observed in CdTe [4].is used as oxidizing agent. is mainly elementary tellurium Te 0 .1 eV. while the least soluble CdO is forming the most part of the oxidized layer on the Hg 0 .2 eV with a FWHM of 0. By stirring the solution during the oxidation process. it may be assumed that the cadmium in the oxidized layer is mainly in the form of oxide CdO. 79 Cd 0 . 79 Cd 0 . In the Hg0 . and perhaps partly in the form of Cd(OH) 2 [4]. Aspnes et al [5] have shown that at a pH of 11. curve b) which presents a peak maximum at 573. Electrochemical Society Proceedings Volume 99-9 389 . curve b). For pH values about 11.2 1 Te bulk (900 A sputter depth). it must be pointed out that the oxygen profile follows roughly the cadmium one (figure 2). the more soluble TeO 2 and HgO are removed from the sample surface. the Te(-II) bonds XPS signal appears at 572. Besides.the maximum of this peak is at 405. since it was not detected in sputtered CdTeO 3 [3]. values generally found in the CdTe bulk after sputtering [3]. 21 Te The oxidized layer charge densities and interface state densities are reported in table I1. The first step is a dissolution by oxidation of the Hg0. curve a) the tellurium. 79 Cd 0 . as shown by the Te3d5/2 XPS spectrum (figure 3. Previous studies performed on CdTe by Etcheberry et al [4] allow to assume that the oxidation mechanism occurs through hole injection in the valence band when Fe(CN) 6 3 . when the species solubility limits are reached. the concentration of which is about 10 % at 400 A deep in the oxidized layer. the ionic solubility of Cd 2 + is much lower than the Hg2 + or Te 4 + one. except the native oxide.8 eV (figure 3. Except for a few monolayers at the surface. as no oxide layer growth is observed on pure HgTe. as suggested by the relative positions of the electronic levels. a fraction of the oxide formed on HgCdTe in basic media is highly soluble leading to a porous layer. The oxidation of HgTe in a Fe(CN) 6 3 basic aqueous solution confirms this mechanism. Electrical properties of the interface oxidized layer/Hg 0 . tellurium and mercurium in basic media. and the absence of oxidized tellurium. occurs. which explains the very different thicknesses of the oxide layers that are observed without and with stirring (figure 1 and 2).6 eV (figure 3.21 Te. This elementary tellurium does not seem to be the result of argon sputtering. the second step. precipitation. Surface Science. M. Lincot A. [5] D.2 1012 Mobile Charge Density (cm-) Interface State Density (cm.8 1011 1 1012 not detectable 4 1010 By combining the two modes . 99 (1995) 15198. J.3 1011 8 1011 1. Bujor and A. U. 97 (1980) 191. References [1] R. Debiemme-Chouvy. Vac.8 1011 1. Technol. E.4 1011 5. (bulk value: 10. A2(3) (1984) 1309. Sci.Table II: Charge densities in the oxide layer and interface state densitiesJor the oxide layer growth with stirringand without stirring(during 150 s). The control of the kinetics of etching of the Hg 0 .2 ) ZnS Oxide formed without stirring Oxide formed with stirring (66 rot/min) Oxidation with two different successive stirring speeds 4. Roll.79 Cd0 . Richter. Iranzo Marin. Triboulet.7 1011 2. Arwin. Phys.the interface state density is strongly decreased. J. [3] Etcheberry. [2] U. Solzbach and H.7 s). Vigneron. A3 (1985) 95. Surface Science 352-354 (1996) 495. Iranzo Marin. J. Aspnes and H. T. The increase of the steady charge density in the oxide layer should lead to an improvement of the photoconductor device. C. Nguyen Duy and A Durand. Technolog. Chem. J.1 1011 1. DebiemmeChouvy. MIS Steady Charge Density (cm. Etcheberry and C. Sci.7 1011 5. J. Vac. 390 Electrochemical Society Proceedings Volume 99-9 .oxidation with stirring and without stirring . [4] F. F.2 ) 1. D. The lifetime value of the minority carriers at the interface is about 5 10-8 s.21Te surface and deposition of the passivation oxide layer should allow to improve the electrical properties of the interface and the oxide layer and consequently the photodetector performances. 385 221 52. de Oliveira. W. H. AIlongue. 212 Electrochemical Society Proceedings Volume 99-9 391 . Peter A. Ballutaud.C. Alieman. R. Barnes. F. Doesburg. Tim Dal. B. R.M. Andricacos.N.G. Duquette. Cunningham.AUTHOR INDEX Acosta.S.J. R. L. 83 221 160 329 25 52. Yezdl Dukovic. D. Shao-Yu Chowdhury. R. D. Eddie Agarwala. P. J. C. Bozack. Cachet. J. Michelle Chen. J. Dordi. 122 25 340 256 103 1. 83 61. C. 111 103 385 134 282 309 263 221 309 221 282 111 263 1 71. Carnell. H. Michael J. Beaunier. Debiemme-Chouvy. 52. Brett C. 177 1. Contolini. William Chiu. Cote. 103 1 309 160. Deligianni. David J. P. Bizetto. W. Cooney III. Dean J. E. Rina Chung. Boldo. 111 83 1 1 238 256 238 379. Diaz. L. Chen. Dominique Barkey. E. Bhattacharya.C. Delatorre. C. Bau-Tong Dawson.O. Batchelor. Baker. Chen. Cabral. L. Hong-seub 25 1 242 379 231.A. M. James J. R. Larry M. Uwe Herrick. M. Elbahnasawy.P. Ern•. N. Hamilton. B. 379. M. Jyh-Wel Inman. D. Peter Heyns. Hsu. Goh. J. W. William. Anthony Gignac.. Wang Ling Gomes. F. H. Billy H. T. Froment. Ivey. Ming-Shiann Flori. Fukidome.N. T. Kim. Innocenti. J. Gighuhi. J. Ge. Gorostiza. Khoperla. John Edelstein. M.E. Keane. Fluegel. Feng. Foresti. Jr. A. Cumbo. Matthew Hey. R. M. Horkans. Greg Happek.P.H.F. Etcheberry.L. Grebs. 373 194 238 111 282 111 61 168 156 160 185 185 103 272 103 25 156 149 111 256 201 294 329 309 16 352 361 392 Electrochemical Society Proceedings Volume 99-9 . Peter M. Flowers.M.G. Hoffmann. Daniel C. Hirokazu Fung. Forni. Gill. P. Kelly. M.D'Urso. J. L. 385 256 221 272 111 294 294 263 366. Geffken. Lee. L. Andrew Liu. R. Demetrius 25 361 340 361 212 111 185 61 361 96 201 385 25 168 1. Kwna-ju Krishnamoorthy. Mclnerney Megivern. Kae-hoon Lee. Charles Y. Seung-joon Ko. Tze-Man Koh. S. Shyam P. Lauffer. Lopatin. Mizuta. Malik. Ahila Kwietniak. T.R. J. Maurin. Munford. A. Matsumura. Landau.J. Naomi Moffat. Wei-Chin Noufl. P. K. P.O. C. Gerko Papapanaylotou. M. Mason. Sergey Lorans. M.R. S.L. C. O'Keefe. Ng. 373 263 71 242 1 156 366 41 150 221 212 340 309 201 318 96 Electrochemical Society Proceedings Volume 99-9 393 .D. M. YI-Fon Leedy. Mertens. Atif Martins. K.N.F. G. J. Mathieu.111 149 9 385 1 111 25 221 309 379 366. Lefevre.W. P. Morante. Long. John G. Frank Lipin.P. McHugh. Oskam.Kim. Murarka. Kai Yu Locke. Uziel Lee. Dominique Luce. Malhotra. Patton. J.J. T. R. F. W. R. Aleksandar Reid.M. Sun. C. J. J. Peter C. Sartorelli. Sr. John L. Robert Tsai. E.S.A. G. M. Ting.P. Chiu H. G. A. Ming-Shih Tsai. Rltzdorf. Taylor. J. Sutter.Pasa. Wachnik. Teerlinck. Seligman. Cindy R. L. Tse. Parks. David Pezzatini. 318 221 282 256 361 103 185 272 156 201 231 201 156 96 385 256 111 168 256 111 61 201 231.J. Stickney. I. R.L. Varadarajan. Vigneron. Spindler. 111 272 394 Electrochemical Society Proceedings Volume 99-9 . K.D. Desikan Via. Jung-wook Simpson. F. Han-C Shin. Travis L. 221 ill 83 103 294 177 149 83 185 122 ill 160 221 221 149. Rodbell. C. E. Searson. E.379 1. Triboulet. Strubbe. K. I-Chung Uzoh. Curtis Shih. Wade. Sanz. Shannon. Radisic. Schwarzacher. Man Siu Tung. Pillier.. Pena. Ridley.M. D. Zhu. C.52. E. T. Mel 1. West. C. 83. Wilson. Wu. Zambelli. G. 111 194 16 71 134 177 221 201 96 Electrochemical Society Proceedings Volume 99-9 395 .Walton.G. Alan C. Q.J. Wan. Zhou. Zanchi. 0.C. 256 221. 149 156. 103. 16. 194. 122. 71. 282. 340. 282 1. 177 185.SUBJECT INDEX Additives Adsorption AES Ag ALE Alternate Underpotential Deposition Aluminum Annealing Anodic Characterization Anodic Properties Aspect Ratio Atomic Layer Epitaxy (ALE) Atomic Force Microscopy (AFM) Atomic Force Profllometry Au AuSn Automatic Bath Replenishment 16. 16. 201 396 Electrochemical Society Proceedings Volume 99-9 . 83 96. 103 111 9. 168. 168. 294 134. 134. 318. 373 238 282. 294 294 256 168 242 242 9 272. 111 16 231 41 CdSe CdTe CdZnTe CMP Cobalt Copper 41 263 272 379 238. 41 242 294 272. 25. 242 272. 52. 9. 52. 111. 282. 340 328 96 Backmetal Backside Metallization Bath Aging Bis-(3-sulfopropyl)-disulfide (SPS) Binding Energy Bromide 185 185 96. 61. Zinc 221.231.83 156 361 318 329 134 340 361 52 GaAs 231.238 212.52. 282. 160 25.242. 83. 309. 134 1 71 156.Copper Alloy Copper Indium Gallium Selenide Copper . 134 41 83 Damascene Defect Deposit Thickness Depth Profiling Dopants Doping Effect Double Layer 1. 122 1 25 242 111 361 9 ECD Seed Layer Electrochemical Atomic Layer Epitaxy (ECALE) Electroless Copper Electroless Deposition Electroless Nickel Electrolyte Conductivity Electron Capture Endpoint Energy Band Diagram Eutectic Faceting Flip-Chip Foot Flux 122 272. 294 168 168. 263 Electrochemical Society Proceedings Volume 99-9 397 .309 309 212 CoSb Chloride CMOS Computational Fluid Dynamics Conduction Band Current Distribution Cu(100) Cu(110) Cu(111) Cup Plater 282 25.41. 340 352 340 25. 134 41.52 41. 9. 160 Impurities Infrared Detector Inhibition Inlaid Metallization InAs InP InP (100) Interconnect 103. 329 242 1. 25 16. 111 379 52 122 272 242. 160. 318. 25. 263. 366 385 1 156. 177 221. Nickel Notch n-type Si 160. 61.340 361 156. 221.GaAs (100) Gap Filling Gate 242 96 361 HF HgCdTe Holefiil Hole Injection 156. 366 373 398 Electrochemical Society Proceedings Volume 99-9 .Schottky Plot 201 1 25. 71 Macroprofile Manufacturing Mass Transport 111 Microprofile Modulated Reverse Current Mott . 25 Janus Green B (JGB) 16 Kerr Effect 221 Leveling Limiting Current 16. 201 201 221 71. 329 9. 242 16. 25. 83 103. 201. 83 329 1 16 41 282 103 242 Electrochemical Society Proceedings Volume 99-9 399 . 111 1. 52. 263 25. 373 9. 61. 111. 160. 71 52.83 177.Nucleation 149. 318 96. 103. 318 Passivation PEG Photovoltaic Device Platinum Polysilicon Porosity Power Devices Precursor p-type GaAs p-type Si Pulse 212 Pulse Reverse Pyrophosphate RBS Resistive Seed Resistivity Transients Roughening Roughness Scaling Analysis Schottky Parameters Self Annealing Semiconductor Manufacturing Shape Evolution Sheet Conductance Si/Au Schottky Junction Si/S1O 2 Interface SIMS Simulation Solder SPC SPS Scanning Tunneling Microscopy (STM) Sequential Underpotential Deposition Stress Sulfidation 242 16 309 160 361 379 185 309 231 156. 103. 111 134 242 25. 61 83 318 366 103. 201 149 221. 83 XPS 231. 194 Underpotential Deposition 282.Superfllling 1. 52. 385 340 294 Zincation ZnS 200 mm 83 300 mm 83 400 Electrochemical Society Proceedings Volume 99-9 .83 134 282 149. 340 379. 25. 242. 294 Valence Band 156. 160 Wafer 1. 111 Tantalum Tellurium Terminal Effect Thermodynamics Thermoelectric TIN 185 379 25. ._ CnM-ENG !i•..Edtr i ELEC•!OCHMICALPF•On:ESiI$a2. rF'L.s I~lll~lliiiilll Sea i-5a~ir7 ~iSimpson l] ::ii P~mIA~fS. Documents Similar To _sWni7aGNSkip carouselcarousel previouscarousel nextElectrochromism and Electrochromic DevicesLithiumLithium-ion battery pros and cons studiedThe Rechargeable Aluminum-ion BatteryLiFeP 2011Flotation of Spodumene Beryl OresXu J. a Review of Processes and Technologies for the Recycling of Lithium Ion Secondary Batteries 20082. Forms of Corrosion; ElectrochemicalDundee - Lithium - Supply & Demand - Oct 28 2009A Battery Composed of a Polypyrrole Cathode and a Magnesium AlloyBateria LiFePO4Lithium-Ion Batteries Benni 191112Study of Aluminium Batteries as Energy Source r(1)A Novel Aluminium Air Rechargeable BatteryPhd-Thesis Lithium BatteryTechnical Handbook, Lithium Ion Rechargeable Batteries, Sony CorpReagentGuide 8th SynthesticOrganicChemistry MaterialsChemistryDenny a. Jones Principles and Prevention of CorrosionBatteriesAbstracts ISHHC 2009 Synthesis, Characterization and Catalytic Evaluation of La0.75Sr0.25Co0.5Fe0.5O3 Oxide in the Catalytic Decomposition of Hydrogen Peroxide)Kuliah 4-Pyrometallurgy 2014ZINC-AIR BATTERY.pdfSynthetic Strategies in ChemistryLithium Iron Phosphate BatteryPhysicochemical ProblemsZinc Air Inc Information on Energy Storage and Renewable Energy SourcesLithium BatteriesHard Rock Spodumene Crystal Lithium DepositMore From Piyush JaiswalSkip carouselcarousel previouscarousel nextCNT Growth by CVDMicrowave.organic.chemistry.reviewGas Flow Controller Manual600155Chemistry of Electronic MaterialsStructure–performance relations in nanocompositecoatingsBest Books About Materials ScienceFluid Mechanics MCQs: Multiple Choice Questions and Answers (Quiz & Tests with Answer Keys)by Arshad IqbalBasic Fluid Mechanicsby J J SharpHandbook of Structural Welding: Processes, Materials and Methods Used in the Welding of Major Structures, Pipelines and Process Plantby J. F. LancasterAdvanced Gear Manufacturing and Finishing: Classical and Modern Processesby Kapil Gupta, Neelesh Kumar Jain, and Rolf LaubscherThermodynamics Problem Solverby The Editors of REA and Ralph PikeStrength of Materials: Theory and Examplesby R. C. StephensFooter MenuBack To TopAboutAbout ScribdPressOur blogJoin our team!Contact UsJoin todayInvite FriendsGiftsLegalTermsPrivacyCopyrightSupportHelp / FAQAccessibilityPurchase helpAdChoicesPublishersSocial MediaCopyright © 2018 Scribd Inc. .Browse Books.Site Directory.Site Language: English中文EspañolالعربيةPortuguês日本語DeutschFrançaisTurkceРусский языкTiếng việtJęzyk polskiBahasa indonesiaSign up to vote on this titleUsefulNot usefulYou're Reading a Free PreviewDownloadClose DialogAre you sure?This action might not be possible to undo. Are you sure you want to continue?CANCELOK