Fabrication of IC 7

March 16, 2018 | Author: navneetkaur77 | Category: Mosfet, P–N Junction, Photolithography, Thin Film, Semiconductors


Comments



Description

Nano IIIMicro- and nano-fabrication Michel Calame Dpt. of Physics, Klingelbergstrasse 82, 4056 Basel room: 1.20, 1st floor email: [email protected] web: http://calame.unibas.ch/ Outline • Introduction: overview, state of the art semiconductor physics basics ( CMOS) • Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from sand to wafer – material deposition techniques – etching – lithography – examples of devices: MEMS, NEMS Outlook: new and future techniques • Nanofabrication, Nano III / mc / 2 References • overview books 1. Fundamentals of Microfabrication: The Science of Miniaturization M. Madou, 2nd ed., CRC Press, 2002 2. Nanoelectronics and Information Technology R. Waser ed., WileyVCH, 2003 (newer edition…) 3. VLSI Technology (more physical) SM. Sze, 2nd ed., McGraw-Hill, 1988 4. Introduction to Semiconductor Manufacturing Technology H. Xiao, Prentice-Hall, 2001. Nanofabrication, Nano III / mc / 3 Introduction 1947, 24th December John Bardeen Walter Brattain William Schockley first point contact transfer resistor ATT Bell Labs Bell labs Nanofabrication, Nano III / mc / 4 Bell labs nobel.se Introduction 1958 Jack Kilby, Texas Instruments first IC (Integrated Circuit) Nanofabrication, Nano III / mc / 5 C. Esser, Infineon Introduction 1961, Robert Noyce, Fairchild Camera first integrated circuit available as a monolithic chip Planar technology (Si substrate and Al lines) Nanofabrication, Nano III / mc / 6 C. Esser, Infineon intel. B. 10μm technology.com . 8MHz invention of the PC (personal computer) IBM.com Nanofabrication. 3μm technology.Child. Nano III / mc / 8 http://www. A. 108kHz http://www.intel. Nano III / mc / 7 Introduction 1981 Intel i8088 29000 transistors.Gates Nanofabrication.Introduction 1971 Intel anounces the i4004 microprocessor "a new era of integrated electronics" 2250 transistors. 1965 “The complexity for minimum component costs has increased at a rate of roughly a factor of two per year. if not increase. Nano III / mc / 10 M.org/transistor/ Introduction Scaling trends Nanofabrication.Introduction 1965. Bohr. Nano III / mc / 9 http://www. Certainly over the short this rate can be expected to continue. Over the longer term. Gordon E. although there is no reason to believe it will not remain nearly constant for at least 10 years.pbs. 38(8).” Nanofabrication. Moore (co-founder Intel Corporation) Electronics. Vol. Intel . the rate of increase is a bit more uncertain. Introduction Scaling trends performance . Intel Introduction state of the art today 45nm (near) future: 32nm.intel. … Nanofabrication. Nano III / mc / 12 www. power . Bohr.com/technology/ . cost Nanofabrication. Nano III / mc / 11 M. . Nano III / mc / 14 .” Thomas Watson. Chairman and Founder of Digital Equipment Corp.” Ken Olson. .. interconnects. first integrated circuit with 4 transistors: 150 US$ market 218·106 US$ for 10 US$. 1981 (though today he denies he said it) Nanofabrication. 1977 “640K ought to be enough for anybody.Introduction “I think there is a world market for maybe five computers. you receive 50·106 transistors (with passive components. President.) market 200-300·109 US$ unprecedented in industry's history 2000 Nanofabrication. 1943 “There is no reason for any individual to have a computer in their home.” Bill Gates. Microsoft founder. Chairman of IBM.. Nano III / mc / 13 Introduction 1958 1 transistor = 10 US$. : horizontal/vertical exchange. nano-imprint. i. etc…) problem: precision. PSI Introduction top-down approach: extend current techniques to smaller sizes (EUV-L. x-ray lithography. flipup principle. costs bottom-up approach: start from individual atoms/molecules use principles of self-organization (selfassembly. Nano III / mc / 16 . inspiration from biology. Gobrecht. biochemistry.e.Introduction Nanofabrication. Nano III / mc / 15 J.. chemistry) problem: long-range order difficult to achieve Nanofabrication. inspiration from biology. biochemistry. state of the art. NEMS Outlook: new and future techniques • Nanofabrication. etc…) problem: precision. Nano III / mc / 17 10nm chemical synthesis 1nm -SH combination of both routes Outline • Introduction: overview. x-ray lithography. Nano III / mc / 18 .Introduction top-down approach: extend current techniques to smaller sizes (EUV-L. flipup principle.e. micro-CP 1μm 100nm sub-micrometer fabrication EBL.: horizontal/vertical exchange. semiconductor physics reminder ( condensed matter course) • Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from sand to wafer – material deposition techniques – etching – lithography – examples of devices: MEMS. nano-imprint. i. costs 10μm micro-fabrication UV lithography.. chemistry) problem: long-range order difficult to achieve nanofabrication (<100nm) Nanofabrication. FIB bottom-up approach: start from individual atoms/molecules use principles of self-organization (selfassembly. with a 1ppm B doping Nanofabrication. Al e.12 eV Ge ~ 0. Sb Ga doped Si p-type other acceptors: B.Semiconductors physics reminder Energy gaps Si ~ 1.66 eV GaAs ~ 1. Nano III / mc / 20 .: resistivity changes by > 6 orders of mag. Nano III / mc / 19 Semiconductors physics reminder Si atoms.43 eV NB: kT (RT) ~25meV Nanofabrication.g. intrinsic As doped Si n-type other donors: P. 3 . based on pn junction npn or pnp • FET (field-effect transistor) voltage applied to capacitively coupled electrode (gate) creates an electric field altering the nb of charge carriers in a semiconductor. like metal-oxide-semiconductor MOSFET Nanofabrication. thus modulating its conductivity (transfer resistor) technologies for gate electrode • pn-junction (junction FET or J-FET) • Schottky barrier (metal-silicon FET or MESFET) • insulated gate FET. Nano III / mc / 22 Ref. Nano III / mc / 21 Semiconductors physics reminder pn-junction Current vs voltage: diode behavior depletion region shrinks Reverse biased applied voltage enhances the internal potential difference Forward biased applied voltage opposed to internal potential difference breakdown Nanofabrication.Semiconductors physics reminder Basic (active) element of IC’s: transistor • bipolar transistor current driven. Nano III / mc / 23 Ref. 3 Semiconductors physics reminder MESFET technology Structure and sign convention of a metalsemiconductor junction metal-semiconductor junction: (Schottky) barrier for electrons and holes if the Fermi energy of the metal is somewhere between the conduction and valence band edge Nanofabrication.Semiconductors physics reminder npn-transistor 2 diodes back-to-back n-type p-type n-type Nanofabrication. Nano III / mc / 24 Course Van Zeghbroeck . Semiconductors physics reminder Energy band diagram of the metal and the semiconductor animation before contact after contact thermal equilibrium (Fermi levels adjusted) Nanofabrication. silicon and gallium arsenide. Nano III / mc / 25 Course Van Zeghbroeck Semiconductors physics reminder Workfunction of selected metals and their measured barrier height (eV) on germanium. Van Zeghbroeck . Nanofabrication. Nano III / mc / 26 Course B. Al (Mo. 3 Semiconductors physics reminder MOS capacitor: ideal case Assumptions for the ideal MOS structure: 1. Nano III / mc / 28 B. d ≈ 1.1018 cm-3 <100> Nanofabrication.Semiconductors physics reminder MOSFET technology: MOS capacitor energy band diagram Al/SiO2/p-Si Metal (gate) : Oxide : Semiconductor : doping orientation typ. Poly-Si SiO2. Föste. Infineon .e.or n-type silicon 1013 . Nano III / mc / 27 Ref. Cu). Flat band condition at V=0 (potential V between gate and back contact) Nanofabrication.7-10 nm p. W. no carrier transport through the oxide under dcbiasing conditions i. No workfunction difference between metal and semiconductor (aligned vacuum and Fermi niveaus) 2. charges at any bias exist only in the semiconductor and at the metal surface 3. Nano III / mc / 29 B.Semiconductors physics reminder MOS capacitor: real case Real MOS structure: flatband voltage <> 0 VFB=φMS Nanofabrication. Infineon . Föste. Nano III / mc / 30 B. Infineon Semiconductors physics reminder MOS capacitor p-type semiconductor Nanofabrication. Föste. Nano III / mc / 32 B. Infineon Semiconductors physics reminder MOS capacitor Nanofabrication. Nano III / mc / 31 B.Semiconductors physics reminder MOS capacitor Nanofabrication. Infineon . Föste. Föste. Föste. Infineon . Nano III / mc / 33 B. Nano III / mc / 34 B. Infineon Semiconductors physics reminder MOS capacitor Nanofabrication. Föste.Semiconductors physics reminder MOS capacitor Nanofabrication. Föste. Nano III / mc / 35 B.Semiconductors physics reminder MOS capacitor gate voltage control knob for charge carrier nature (electron/hole) and density at oxide/semiconductor interface Nanofabrication. Infineon Semiconductors physics reminder MOSFET Nanofabrication. Infineon . Nano III / mc / 36 B. Föste. Föste. Nano III / mc / 37 B. Infineon Semiconductors physics reminder two different types of MOSFETs (n-channel) • depletion type (on by default) Vg=0 Vg<>0 • enhancement type (off by default) Nanofabrication. Infineon . Föste. Nano III / mc / 38 B.Semiconductors physics reminder Nanofabrication. Nano III / mc / 39 Ref.Semiconductors physics reminder n. Nano III / mc / 40 Refs.or p-channel n-channel (NMOS) (charge carriers: electrons) p-channel (PMOS) (charge carriers: holes) Nanofabrication. 1 & 3 . 1 Semiconductors physics reminder for an enhancement-type MOSFET: Nanofabrication. 13mm. today’s most common technology • • • • n-channel MOS device in series with p-channel MOS device (when NMOS on. Nano III / mc / 42 Refs 1 & 3 M. Vout=Vdd (high. 1) Nanofabrication. 0) • Vin low (0): NMOS off. 300mm wafers (12 ") Nanofabrication. PMOS off.Semiconductors physics reminder CMOS: complementary MOS. Infineon Semiconductors physics reminder CMOS: state-of-the-art SOI substrate. Vout=Vss (low. Cu/low-k interconnection. PMOS on. features <0. Bohr. 5 metal layers. Nano III / mc / 41 B. hence "complementary") simple design draws very little current (except when switched on) low-power technology basic logic gate: inverter • Vin high (1): NMOS on. PMOS off and vice-versa. Föste. Intel . Nano III / mc / 44 http://www. Intel) state-of-the-art transistors: sub-50nm technology gate dielectric Nanofabrication.intel. Nano III / mc / 43 gate structure very thin oxide layers Refs 1 & 3 Semiconductors physics reminder TEM cross-sections (Chau et al. 5 metal layers. 300mm wafers (12 ") Nanofabrication.Semiconductors physics reminder CMOS: state-of-the-art SOI substrate.htm .13mm. Cu/low-k interconnection..com/technology/silicon/index. features <0. Oberholzer D. Xiao.1 . Keller Nanofabrication. Ref. Ref. Nano III / mc / 45 H. packaging S.1 …and then contacting.: wire bonding.CMOS fabrication steps example of (simple…?!) CMOS process sequence Nanofabrication. Nano III / mc / 46 H. Xiao. NEMS Outlook: new and future techniques • • Nanofabrication. semiconductor physics reminder Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from sand to wafer – material deposition techniques – etching – lithography – examples of devices: MEMS. Nano III / mc / 48 . it's also about the choice of materials.yet… «The simplest cell (…) is more complex than any machine built by people so far. state of the art. 1998) scaling down systems is not only about downsizing. the interfaces between materials ("contacts") the geometry / design / architecture of the system Nanofabrication. Nano III / mc / 47 Refs 2 Outline • Introduction: overview.» (Maddox. Xiao. 3 .1 IC fabrication Nanofabrication. Nano III / mc / 49 H.IC fabrication clean room Silicon Nanofabrication. Ref. Nano III / mc / 50 Ref. facility.. static charges. chemicals. people.. state of the art. pens. …. equipment. Nano III / mc / 51 Clean room grain of salt on a piece of a microprocessor importance of yield in industrial processes clean rooms CMI. .) Nanofabrication.) special furniture and tools (paper.Outline • Introduction: overview. EPFL • • limit contaminants (air. NEMS Outlook: new and future techniques • • Nanofabrication. semiconductor physics reminder Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from sand to wafer – material deposition techniques – lithography – etching – examples of devices: MEMS. gas. Nano III / mc / 52 . 1 and ≤ 9) particle size (micrometers) .1 µm 1 10 100 1.2 µm 35 7 75 750 ≥0.Clean room clean room classes class 1 less than 1 particle of diameter larger than 0.000 10. Xiao.000 100. Nano III / mc / 53 H.! (Federal Standard 209E) Nanofabrication.. Ref.000 100.08 Cn N D Nanofabrication. > 500’000 particles per cubic foot.000 clean room standard (ISO 14644-1) Cn = 10N (0.1 / D)2.5 µm 1 10 100 1.5μm in a cubic foot "normally clean" appartment: typ.000 ≥5 µm ISO equivalent ISO 3 ISO 4 ISO 5 7 70 ISO 6 ISO 7 ISO 8 350 700 maximum permitted number of particles per m3 ≥ specified particle size (rounded) ISO class number ( multiple of 0.1 Clean room maximum particles/ft³ Class ≥0.3 µm 3 30 300 ≥0. Nano III / mc / 54 ≥0.000 10. Nano III / mc / 55 Typical Atmospheric Dust Clean room simple clean room design Nanofabrication.150 0.40 1 .3 0.60 0.1 .005 . Ref.3 .300 5 .Clean room Particle Beach Sand Pollens Textile Fibers Human Hair Saw Dust Tea Dust Red Blood Cells Spores Coal Dust Smoke from Synthetic Materials Auto and Car Emission Metallurgical Dust Humidifier Copier Toner Bacteria Burning Wood Tobacco Smoke Viruses Particle Size (microns) 100 .3 0.50 1 .5 .1 .9 .1000 40 .2 .4 0.300 30 .15 0.1000 10 .1000 0.001 to 30 Nanofabrication.3 0. Xiao.100 1 .10 3 .10000 10 .0.01 . Nano III / mc / 56 H.600 8 . Fasten snaps at top of booties. 32. 35. 9. Undo front helmet snaps. Nano III / mc / 57 H. The Intel pros can do it in five. and ID badge from rack. Pick up booties. 43. Put on nylon gowning gloves. Tuck helmet skirt into bunny suit. Remove any makeup with cleanroom soap and water. Swing bootied foot to "clean" side of bench. 2. Put shoe cover on over shoes. 14. Obtain disposable scope shield. 39. 42. Put on other bootie on "dirty" side. Cover any facial hair with a surgical mask or beard/mustache lint-free cover. Zip up bunny suit at shoulders. Re-snap front helmet snaps. 5. Put on latex gloves. etc. 41. Attach battery pack to belt. Ref. Enter main gowning room. 34. 22. Clean shoes with shoe cleaners. Set aside badge. pager. 6. 38. Clean any small. putting on a bunny suit can take 30 to 40 minutes. 30. Swing bootied foot to "clean" side. 36. candy. 29. 33. Remove protective covering from both sides of scope shield. 17. Attach face shield to helmet. 16. Nano III / mc / 58 http://www. 10. 8. Discard any gum. Put on helmet. Take a drink of water to wash away throat particles.com/ . 31. Xiao. Tuck bunny suit pant legs into booties. 18. Obtain bunny suit and belt from hanger. Obtain helmet. Examine attire in mirror. 7. Put on ID badge. 15. 13. and any other items to be taken inside. 27.1 Clean room 11.intel. Sit on "dirty" side of bench. 21. 24. 23. If you've never done it before. Put on a lint-free head cover. 19. 44. Put on safety glasses. Plug filter unit into battery pack. Attach helmet hose to filter unit. Attach filter unit to belt. Nanofabrication. 12. 37. Put on belt. Steps to enter a cleanroom (Intel) 1. 4. 3.Clean room more advanced clean room design Nanofabrication. Put on pager. Put on bunny suit without letting it touch the floor. 25. Store personal items. pre-approved items to be taken inside. Tighten knob at back of helmet. Enter the cleanroom. Put on one bootie (over plastic shoe cover). 40. 28. safety glasses. 45. 26. Nano III / mc / 60 . state of the art. Nano III / mc / 59 Silicon: from sand to wafer 14 +IV (+II) [Ne]3s23p2 28. semiconductor physics reminder Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from sand to wafer – material deposition techniques – etching – lithography – examples of devices: MEMS. NEMS Outlook: new and future techniques • • Nanofabrication.085g/mol 1410°C 2.Outline • Introduction: overview.33kg/m3 Silicon Si 2nd (after oxygen) most abundant in earth’s crusts: 26% 7th most abundant element in universe Nanofabrication. Nano III / mc / 62 . Sb (antimony) p-type: B (boron) • • Nanofabrication. indirect semiconductor SiO2 glass (amorphous). Nano III / mc / 61 C. abundant oxide (SiO2) strong and stable dielectric ( MOS technology). quartz (cristalline) SiC very hard (polishing) Si crystalline (semiconductor industry) typical resistivity: 100 mΩ cm structure: cfc (diamond-like) Nanofabrication.1 eV): higher operation T larger breakdown voltage Doping elements n-type: P (phophorus). As (arsenic). Wacker Siltronic Silicon: from sand to wafer • • • • advantadges of Si over other semiconductors (Ge) cheap.Silicon: from sand to wafer Si: nonmetallic element. Heedt. grown easily by thermal oxidation larger band gap (1. Silicon: from sand to wafer Si purification: natural Si oxide MGS metallurgical EGS electronic grade Nanofabrication. Heedt. Nano III / mc / 64 C. Heedt. Nano III / mc / 63 C. Wacker Siltronic Silicon: from sand to wafer Si purification: MGS EGS Nanofabrication. Wacker Siltronic . Heedt.Silicon: from sand to wafer EGS single cristal ingot: CZ growth (Czochralski method) Nanofabrication. Nano III / mc / 65 C. Wacker Siltronic Silicon: from sand to wafer Si ingot up to 300mm diameter (FZ or floating zone purer butlimited to 200mm) Nanofabrication. Nano III / mc / 66 C. Heedt. Wacker Siltronic . Wacker Siltronic . orient. flat: up to 150mm notch: > 200mm Nanofabrication. Nano III / mc / 67 C. Nano III / mc / 68 C. Heedt.Silicon: from sand to wafer Surface grinding mark crist. Heedt. Wacker Siltronic Silicon: from sand to wafer wafer sawing edge rounding Nanofabrication. byu. 1 Silicon: from sand to wafer wafer flats • • Orientation for automatic equipment Indicate type and orientation of crystal. The location of this flat varies. 1 www. Primary flat – The flat of longest length located in the circumference of the wafer. HF and CH3COOH) CMP (chemical mechanical polishing) wet cleaning (RCA1. The primary flat has a specific crystal orientation relative to the wafer surface. no secondary for p-type Nanofabrication. Nano III / mc / 70 Ref. Secondary flat – Indicates the crystal orientation and doping of the wafer. RCA2) surface roughness after the various treatment • • • Nanofabrication. isotropic (4:1:3 mixture of HNO3. flat at 180 deg for n-type and 90 deg for p-type flat at 45 deg for n-type. doublesided) wet etching .Silicon: from sand to wafer wafer finishing • lapping (global planarization.edu/cleanroom . Nano III / mc / 69 Ref.ee. [-101]. semiconductor physics reminder Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from sand to wafer – material deposition techniques – etching – lithography – examples of devices: MEMS.byu.[001] type: <110> Equivalent directions: [110].Silicon: from sand to wafer Miller indices example description of lattice planes and lattice directions in crystal cubic lattice system the direction [hkl] defines a vector direction normal to surface of a particular plane or facet. [-110]. [10-1] type: <111> Equivalent directions: [111]. [1-10].[010].ee. Nano III / mc / 71 Ref. [01-1]. [-10-1]. [0-1-1]. [1-11]. 1 www. [011]. Nano III / mc / 72 . [-1-10]. [-111]. state of the art.edu/cleanroom Outline • Introduction: overview. [11-1] Nanofabrication. [0-11]. NEMS Outlook: new and future techniques • additive substractive • Nanofabrication. type: <100> Equivalent directions: [100]. [101]. temperature) • control type of growth: homoepitaxy. growth of polycristalline or amorphous layers. heteroepitaxy. importance of strain misfit dislocations substrate temperature Nanofabrication. Nano III / mc / 74 . residual gas atoms: purity) • UHV (p<10-9 mbar) necessary depending on final purity needed • phase diagrams of materials to deposit (pressure. Nano III / mc / 73 Fabrication: physical deposition techniques fundamentals of film deposition • gas kinetics (mean free path: small holes filling.Fabrication: material deposition techniques Physical processes • Physical Vapor Deposition (PVD): basics of film deposition thermal evaporation molecular beam epitaxy (MBE) pulsed laser deposition (PLD) sputtering • Casting Chemical processes • Chemical Vapor Deposition (CVD) • Atomic Layer Deposition (ALD) • Electrodeposition • Langmuir-Blodgett films (LB) Nanofabrication. Fabrication: physical deposition techniques • gas kinetics (mean free path: small holes filling. Nano III / mc / 76 . residual gas atoms: purity) table for residual air at 25°C Nanofabrication.33 mbar = 1mm Hg Nanofabrication. Nano III / mc / 75 Fabrication: physical deposition techniques • UHV (p<10-9 mbar) necessary depending on final purity needed Rough Vacuum 760 to 10-3 torr load locks High Vacuum 10-3 to 10-8 torr evaporation Ultra-High Vacuum 10-8 to 10-12 torr surface analysis sputtering reactive ion etching (RIE) low pressure chemical vapor deposition (LPCVD) ion implantation molecular beam epitaxy (MBE) NB: 1 torr = 1. 0 O(1) 6.6 6.g. Nano III / mc / 77 Fabrication: physical deposition techniques • type of growth: homoepitaxy IBM Almaden STM image. 28nm by 28nm area of the terraced copper and copper nitride surface with Manganese humps (110 atoms long).Fabrication: physical deposition techniques • phase diagrams of materials to deposit (pressure.2 6. Nanofabrication.0 Oxygen content: x Nanofabrication. Nano III / mc / 78 .: YBa2Cu3Ox c 500 400 250 200 Tc [K] Tetragonal Insulating Orthorhombic Metallic CuO2 plane a b O(2) Cu(2) O(3) O(4) (apical) yttrium baryum TN [K] 300 200 100 150 100 oxygen copper Antiferromagnetic 50 Superconducting Cu(1) 6.8 7.4 6. temperature) e. ideal) Volmer-Weber (island growth) large mismatch Stranski-Krastanov (layers then islands due to strain build up) Nanofabrication. Nano III / mc / 80 . Nano III / mc / 79 Fabrication: physical deposition techniques • importance of strain misfit dislocations: T strained layer substrate Nanofabrication.Fabrication: physical deposition techniques • heteroepitaxy small mismatch Frank-Van der Merwe (layer growth. robust. Mo – Al2O3. • Typical deposition rates are 1-100 Å/s • Common evaporant materials: – Everything a resistance heated evaporator will accommodate. SnO2. • Typical emission voltage is 8-10 kV. • Typical deposition rates are 1-20 Å/s. widespread • T ~ 1800°C • Use W. Sb. Ta. • Exposes substrates to visible and IR radiation. In. Zr. Mg. Ge. Ir. Ag.g. • Typical filament currents are 200-300 Amperes.Fabrication: thermal evaporation thermal evaporation: free. SiO2. but extremely versatile. – X-rays can also be generated by high voltage electron beam. isotropic (Langmuir) resistance heated evaporation sources: wires. SiO. KCl. Al. ZrO2 Nanofabrication. PbS. Rh. Nano III / mc / 81 Fabrication: thermal evaporation thermal evaporation: free. plus: – Ni. graphite) in a copper hearth. Ti. Sn. AgCl. CaF2. TiO2. boats • Simple. Nano III / mc / 82 . • Common evaporant materials: – Au. • T > ~ 3000°C • Use evaporation cones or crucibles (e. • Exposes substrates to secondary electron radiation. isotropic (Langmuir) e-beam evaporation • More complex. MgF2. PbCl2 Nanofabrication. W. CdSe. NaCl. Ta. V. or Mo filaments to heat evaporation source. Cr. Ga – CdS. Pt. Fabrication: thermal evaporation thermal evaporation: ~ directional effusion (Knudsen) cell evaporation rate ~ controlled by temperature only (play with equilibrium vapor pressure and aperture size) NB: distribution of vapor beam intensity. depends on ratio L/d (filling level of cell !) Biasiol and Sorbia. Nano III / mc / 83 Fabrication: physical deposition techniques NB: shadow effects / step coverage directionality and adhesion effects Nanofabrication. 2001 Nanofabrication. Nano III / mc / 84 . Fabrication: Molecular Beam Epitaxy (MBE) .multiple cells/shutters . 3 & J. Faist.Faist Fabrication: Molecular Beam Epitaxy (MBE) Molecular Beam Epitaxy (MBE) TEM pictures of a QCL GaAs/AlGaAs structure (J.source: effusion cells . Nano III / mc / 85 Ref. ETHZ . Nano III / mc / 86 J.Faist.epitaxy (heated substrate) excellent control on layer compostion Nanofabrication.UHV ( in-situ surface characterisation techniques possible) . ETHZ) Nanofabrication. source: target.epitaxy (heated substrate) . Nano III / mc / 88 . thermal energy (laser pulse ~ few J/cm2) . Nano III / mc / 87 Fabrication: Sputtering DC sputtering • • few 100V plasma (p~10-1 .10-3mbar) sputtering of target by ions. oxide films . Ar+ (binding energy of target atoms: 4-8eV. laser ablation .Fabrication: Pulsed Laser Deposition (PLD). min energy of Ar+ ions: 20-50eV. multiple collisions necessary) stoichiometry of target (~) preserved increase ionization rate of plasma with B-field (magnetron sputtering) insulating targets: RF-sputtering (~13MHz) • • • (erosion) Nanofabrication.off-axis geometry (rotating substrate) Nanofabrication.typ. typ.multiple target possible (YBCO/PBCO) . Fabrication: Casting Casting (spinning) (typ. photoresists.g. polymers. e. Nano III / mc / 89 Fabrication: material deposition techniques Physical processes • Physical Vapor Deposition (PVD): thermal evaporation molecular beam epitaxy (MBE) pulsed laser deposition (PLD) sputtering • Casting Chemical processes • Chemical Vapor Deposition (CVD) • Atomic Layer Deposition (ALD) • Electrodeposition • Langmuir-Blodgett films (LB) Nanofabrication. polyimide) Nanofabrication. Nano III / mc / 90 . Fabrication: Chemical Vapor Deposition Chemical Vapor Deposition (CVD) def: reaction of chemicals (precursors, gas phase) at high T to form the deposited thin film 3 SiH4 + O2 SiCl2H2 + 2N2O 3 SiH4 + 4NH3 SiH4 SiO2 + 2 H2 SiO2 + 2 N2 + 2HCl Si3N4 + 12 H2 Si + 2 H2 450°C 900°C 700°C-900°C 580-650°C, 1mbar note: stress can be critical (nitride layers) LPCVD: low-pressure CVD; requires higher temperatures PECVD: plasma enhanced CVD, allows temperature reduction MOCVD: metal-organic CVD, use organometallic precursors CMI, EPFL SiO2 SiO2 Si3N4 poly Si Nanofabrication, Nano III / mc / 91 Fabrication: chemical deposition techniques note: thermal oxidation (note really a deposition process, Si consumed during process) better oxide quality, very low density of defects, homogeneous thickness (gate – insulating - material) dry wet Si (solid) + O2 (gas) Si (solid) + H2O (steam) SiO2 (solid) SiO2 (solid) + 2H2 (gas) (NB: native oxide layer: ~ 2nm) final thickness: few x 10Å to 2μm T ~ 900°C – 1200°C limited to materials able to form oxides Nanofabrication, Nano III / mc / 92 Fabrication: chemical deposition techniques thermal oxidation xox(t) ∝ [1+c(t+τ)]1/2 (Deal-Grove) Nanofabrication, Nano III / mc / 93 Fabrication: chemical deposition techniques note: in similar ovens: doping (diffusion process) T ~ 800°C Nanofabrication, Nano III / mc / 94 Fabrication: Atomic Layer Deposition (ALD) self-limiting growth Nanofabrication, Nano III / mc / 95 Beneq Fabrication: Atomic Layer Deposition (ALD) A: Hydroxylated surface B: Trimethylaluminium (TMA) reacts with OH C: TMA does not react with itself (passivation) D: Purge reactor Nanofabrication, Nano III / mc / 96 Cambridge Nanotech AlN. Pd) • Doped materials (e. TiN.g. ZnF2.) Coating uniformity variety of materials • Oxides (e.3-1.g. Nano III / mc / 98 Beneq . Pt. Al2O3.Fabrication: Atomic Layer Deposition (ALD) E: H2O removes CH3 and passivates F: Purge reactor G: Ready for new cycle Repeat A-G for controlled monolayer deposition Nanofabrication. SrF2) • Metals (e. Nano III / mc / 97 Cambridge Nanotech Fabrication: Atomic Layer Deposition (ALD) typical conditions • Pressure 0.g. ZnS.0 SLM (std liter / min. ZrO2 .g. TiO2.g.1-5 mbar • Temperature 60-500ºC • Gas flow 0. HfO2. Y2O3) • Nitrides (e. ZnO:Al) • Polymers (polyimides) • Biocompatible thin films (hydroxyapatite) Nanofabrication. WxN) • Sulfides (e. CaS) • Fluorides (e.g. Ir. ZnS:Mn. CH4 (methane) (+ …) 600-1000C Fe (Ni. C2H4 (ethylene).) Nanofabrication. C2H2. Nano III / mc / 100 . Ar temperature: catalyst: C2H2 (acetylene). C2H4. Co) Nanofabrication..Fabrication: chemical deposition techniques CVD example: carbon nanotubes growth (CH4. Nano III / mc / 99 CVD example: CNTs hydrcarbon gases: carrier gases: H2.. 600C-700C Nanofabrication. Nano III / mc / 102 . ~2nm Nanofabrication.1μm SWNTs Fe.g. acetylene. Nano III / mc / 101 lithographically patterned Fe film. and Hafner et al. 900C-1000C see e.: Dai et al. ethylene. Nano III / mc / 104 . to SiO2/Si surface • Growth Time [min] 120 Length (µm) 100 80 60 0 5 10 15 20 C2H2 Flow [sccm] 120 Length (µm) 100 80 60 40 20 0 5 10 15 20 Z... Nanofabrication. flow and thickness SEM image perp.120 Length (µm) 100 80 60 40 20 0 0 5 10 15 • length of tubes vs time. 2002 Nanofabrication. Perrin et al. Nano III / mc / 103 Fe thickness [nm] M. Liu et al. Perrin et al.g.M.typ.solution with reducible form of metal (electrolyte) .substrate is conducting .carbon-based super-capacitors (large surface to volume ratio) -… Nanofabrication.sample at cathode (neg.deposition of same material as substrate (seed layer) . Cu2+ + 2e. applications: .composite materials . for thick (>μm) metallic layers . Nano III / mc / 106 ..Cu (solid) incorporation of the reduced metal in the substrate . potential) e. Nano III / mc / 105 Fabrication: Electrodeposition (electroplating) note: .NB: in pores deposition of wires Nanofabrication. Grüter et al. Nano III / mc / 107 L.9 V in both cases.. 2005) Fabrication: chemical deposition techniques SEM picture of Au wires on a Si substrate (electrochemical template synthesis) Au wires after etching off the Ni.Fabrication: chemical deposition techniques Electrodeposition of metallic nanowires Nanofabrication.. Nano III / mc / 108 L. (PhD thesis. Gaps: (a) 25 nm and in (b) 60 nm Nanofabrication. 2005) . (PhD thesis. Grüter et al. NB: deposition time for Ni: 33 s at V= -0. sputtering source CVD: chemical vapor deposition film growth deposition of material in gas phase after a temperature controlled chemical reaction of the component chemicals precursor gases source Nanofabrication. e-beam.Fabrication: Langmuir-Blodgett (LB) technique Nanofabrication. laser pulse) . phase diagrams. vacuum) .thermal evaporation (boat. effusion cell. Nano III / mc / 110 . Nano III / mc / 109 additive techniques: short summary PVD: physical vapor deposition film growth mass transport from source to substrate. epitaxial growth possible (temperature. key parameters: force. 100Å -1000Å/min key points: selectivity (etch rates ratio of masking layer and layer to etch) directionality (defines etch profile) note: CMP. state of the art. FIB (focused ion beam) • dry etching (plasma assisted techniques. Nano III / mc / 111 Fabrication: etching Chemical: • wet etching (can be anisotropic due to crystal-face selectivity) • fast. Nano III / mc / 112 . NEMS Outlook: new and future techniques • additive subtractive • Nanofabrication. semiconductor physics reminder Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from sand to wafer – material deposition techniques – etching – lithography – examples of devices: MEMS. typ. slurry type. pressures up to 10mbar) (more a combination of physical and chemical material removal) • slower. chemical mechanical polishing to achieve global planarization combine mechanical abrasion with chemical etching. pad velocity Nanofabrication. up to 10μm/min or more Physical etching (sputtering) • ion milling.Outline • Introduction: overview. memsguide. typ. silicon nitride Si polycrystalline or single-crystal isotropic: mix of HNO3 and HF (cyclic process: HNO3 oxidizes Si. 1μm/min Nanofabrication.com Fabrication: wet etching SiO2 6:1. Nano III / mc / 114 .Fabrication: wet etching • use chemical solutions to dissolve material chemical species react with surface etch products rinse. 10μm/min • anisotropic. Nano III / mc / 113 http://www. typ. buffered in NH4F (BHF) or 10:1 to 100:1 (by vol. dry isotropic. HF removes oxide) Si + HNO3 + 6 HF → H2SiF6 + HNO2 + H2O + H2 Nanofabrication.) HF in H2O (NB: 1:1 HF (49% HF in H2O) too fast) SiO2 + 6 HF → H2SiF6 + 2 H2O (H2SiF6 soluble in H2O) BHF etch rate: 1000Å/min (room temperature) masks: photoresist. → Si(OH)4 + 4 e- exposes slow planes (111) (~not attacked by etchant) V-shaped (or trapezoidal) groove for (100) wafers vertical trench for (110) wafers (110) Nanofabrication.4% wt.Fabrication: wet etching Si anisotropic (cystalline Si): (100) rate / (111) rate ~ 100 at 80°C e. Nano III / mc / 116 MicroChemicals . H2O 63.g. C3H8O 13.3% wt (isopropyl alcohol).: KOH 23.3% wt Si + 4 OH. Nano III / mc / 115 Fabrication: wet etching Nanofabrication. less selective . Nano III / mc / 117 Fabrication: dry etching dry etching: usually plasma based as compared to wet etch: .smaller undercut (patterning of smaller lines) .mask etching not negligible ion milling purely physical sputering by accelerated Ar+ ions p ~ 10-4 – 10-3 torr. acetic. nitric) and water mix of sulfuric acid and hydrogen peroxide multilayers including stop layer for etching: well-defined wells selectivity Nanofabrication. etch rate: few nm/min note: no selectivity Nanofabrication.higher anisotropicity (high aspect-ratio structures possible) . Nano III / mc / 118 .Fabrication: wet etching Si3N4 H3PO4 91.5% concentration at 180°C (etch rate ~ 100Å/min) Si3N4 + 4 H3PO4 → Si3(PO4)4 + 4 NH3 silicon phosphate (Si3(PO4)4) and amonia (NH3) are water soluble selectivity to thermally grown SiO2 Si metals Al Ti NB: > 10:1 > 33:1 mix of acids (phosphoric. g. M.reactive species (e. cf: T. Nano III / mc / 120 . IEEE Circuits & Devices Mag.accelerated ions (e.g.memsguide. Nano III / mc / 119 http://www. makes surface more reactive) or help etch products to desorb .g. E. Elta: Plasmaetch technology.: directional) either modify surface state (e.: bond breaking. plasma generated from from SF6) diffuse to substrate surface and react.Fabrication: dry etching RIE: reactive ion etching physical and chemical . Si etch with SF6 SF5+ F- Nanofabrication. 6 (1990) 38–43 Nanofabrication. J.com Fabrication: dry etching recipes. forming SiF4 (volatile) Ar+. Cotler. Fabrication: dry etching DRIE (key process for MEMS) deep reactive ion etching ICP RIE SF6 alternated with C4F8 (to passivate walls).com Fabrication: dry etching SEM/FIB (FEI Nova 600 nanoLab) Focused Ion Beam (FIB) • controlled. Bosch process selectivity Si:SiO2. 150:1 profile angle +/.memsguide. Nano III / mc / 122 . Nano III / mc / 121 http://www.1° Nanofabrication. less penetration depth • Ions can remain trapped -> doping • High mass -> slow speed but high momentum milling !!! • Ions are positive • Electrostatic lenses IBM Nanofabrication. inner shell reactions • High penetration depth • Low mass -> higher speed for given energy • Electrons are negative • Magnetic lens (Lorentz force) Ions • Big -> outer shell reactions (no x-rays) • High interaction probability. directed heavy ion beam (typ. Ga) • local ion milling (sputtering) • fine structures. nm range Electrons • are very small. Fabrication: dry etching FIB operating modes Pavius et al. Nano III / mc / 123 Fabrication: dry etching milling Pavius et al. CMI EPFL local cross-sectioning of IC's (Uni Erlangen) Nanofabrication. Nano III / mc / 124 ... CMI EPFL Nanofabrication. state of the art. short summary Chemical: • wet etching (can be anisotropic due to crystal-face selectivity) Physical etching (sputtering) • ion milling. semiconductor physics reminder Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from powder to wafer – material deposition techniques – etching – lithography – examples of devices: MEMS. Nano III / mc / 126 . pressures up to 10mbar) (more a combination of physical and chemical material removal) key points: selectivity (etch rates ratio of masking layer and layer to etch) directionality (defines etch profile) Nanofabrication. NEMS Outlook: new and future techniques • • Nanofabrication. Nano III / mc / 125 Outline • Introduction: overview. FIB (focused ion beam) • dry etching (plasma assisted techniques.Fabrication: etching. inking and transfer to paper (1st process. Eastman Kodak Nanofabrication." definition: method to define a pattern on a substrate typ. 1798) • first synthetic photo-polymer: 1935.lithography: Greek lithos "stone" + graphein "write. Nano III / mc / 127 lithography overview of lithography process • Surface Preparation • Coating (Spin Casting) • Pre-Bake (Soft Bake) • Alignment • Exposure • Development • Post-Bake (Hard Bake) • Processing Using the Photoresist as a Masking Film • Stripping • Post Processing Cleaning (Ashing) Nanofabrication.: pattern engraving on print block. Nano III / mc / 128 . Senefelder. Darling .B. Darling lithography Wafer cleaning • Standard degrease: – 2-5 min. oil.1-TCA is less so – acetone is flammable – methanol is toxic by skin adsorption Nanofabrication. Nano III / mc / 130 R. Nano III / mc / 129 R.1. 1.1-trichloroethane (TCA) or trichloroethylene (TCE) with ultrasonic agitation prior to acetone • Hazards: – TCE is carcinogenic.1. soak in acetone with ultrasonic agitation – 2-5 min.B. or wax stains: – Start with 2-5 min. rinse under free flowing DI H2O – spin rinse dry for wafers. soak in 1. soak in isopropanol with ultrasonic agitation – 2-5 min.lithography Wafer cleaning Typical contaminants that must be removed prior to photoresist coating: • dust from scribing or cleaving (minimized by laser scribing) • atmospheric dust (minimized by good clean room practice) • abrasive particles (from lapping or CMP) • lint from wipers (minimized by using lint-free wipers) • photoresist residue from previous photolithography (minimized by performing oxygen plasma ashing) • bacteria (minimized by good DI water system) • films from other sources: – solvent residue – H2O residue – photoresist or developer residue – oil – silicone Nanofabrication. soak in DI H2O with ultrasonic agitation – 30 sec. N2 blow off dry for tools and chucks • For particularly troublesome grease. 3-hexamethyldisilazane (HMDS).B. adhesion factors: • moisture content on surface • wetting characteristics of resist • type of primer • delay in exposure and prebake • resist chemistry • surface smoothness • stress from coating process • surface contamination • for silicon: – primers form bonds with surface and produce a polar (electrostatic) surface – most are based upon siloxane linkages (Si-O-Si) 1.3. Darling . Darling lithography Spin coating of photoresist Nanofabrication. Nano III / mc / 132 R.3.lithography Wafer priming • Adhesion promoters are used to assist resist coating. (CH3)3SiNHSi(CH3)3 Nanofabrication. Nano III / mc / 131 R.1.B.1. • Typical thermal cycles: – 90-100°C for 20 sec. • Hot plating the resist is usually faster. on a hot plate • Commercially.B. Nano III / mc / 134 . microwave heating or IR lamps are also used in production lines. Nano III / mc / 133 R. and does not trap solvent like convection oven baking. in a convection oven – 75-85°C for 45 sec. more controllable. Nanofabrication.lithography Prebake (soft-bake) Used to evaporate the coating solvent and to densify the resist after spin coating. Darling lithography Nanofabrication. Nano III / mc / 136 Ref. 3 . 3 Optical lithography source wavelengths: optical: > 450nm UV: 365nm-435nm DUV: EUV: x-ray: 157nm-250nm 11nm-14nm < 10nm Nanofabrication.Different types of lithography radiation source DLW illumination control system resist coated sample Nanofabrication. Nano III / mc / 135 Ref. stepper (multiple exp. limited) MFS ~ (dλ)1/2 mask deteriorates MFS ~ [(d+g)λ]1/2 MFS ~ 0.Optical lithography masking methods contact mask deteriorates Minimum Feature Size proximity poorer resolution projection better resolution (diffrac. 3 Optical lithography phase-shifting technique destructive interference Nanofabrication. Nano III / mc / 137 Ref. Nano III / mc / 138 .) Nanofabrication.61 λ/NA reduction possible (decreases errors). g. PMMA (DUV. DQN negative: stays at exposed areas initiates cross-linking of side chains or polymerization of mono-/oligomeric species e. 3 Optical lithography Nanofabrication.g.Optical lithography photoresists positive: develops at exposed areas made soluble upon exposure (chain scission) e. maN400 Nanofabrication. Nano III / mc / 139 Ref. e-beam). Nano III / mc / 140 . Nano III / mc / 142 . Nano III / mc / 141 Optical lithography Nanofabrication.Optical lithography Nanofabrication. Postbake removes any remaining traces of the coating solvent or developer (eliminates the solvent burst effects in vacuum processing). e. Postbake introduces some stress into the photoresist. Longer or hotter postbake makes resist removal much more difficult.Optical lithography Nanofabrication. • • • Main parameter is the plastic flow or glass transition temperature. Nanofabrication. some shrinkage of the photoresist may occur. Nano III / mc / 144 . • NB: Postbake is not needed for processes in which a soft resist is desired. Nano III / mc / 143 Optical lithography Postbake (Hard Bake) Used to stabilize and harden the developed photoresist prior to processing steps that the resist will mask. metal liftoff patterning.g. undercut control ma-N 400 negative resist (micro resist technology). 2 µm thickness Effect of exposure time tE 90 s. 0. Nano III / mc / 146 micro resist technology .5 µm undercut tE 140 s.5 µm undercut Nanofabrication. 0 µm undercut tE 100 s.Optical lithography photoresists profiles (after development) R: developing rate of exposed region R0: developing rate of unexposed region R/R0 > 10: fast developper γ: resist contrast (linked to exposure dose. Nano III / mc / 145 Ref. 1. 2 Optical lithography Example: lift-off process. cf Madou) Nanofabrication. EUV lithography (R&D) reflection optics (for λ=10-15nm: no transparent enough materials for lenses) Nanofabrication. Gobrecht. Nano III / mc / 148 J. . H. no lenses Nanofabrication. Solak et al. Nano III / mc / 147 Ref. 3 x-ray lithography (R&D) X-ray Interference Lithography (XIL) NB: same problem as EUV. 5 nm feature size: 3. Solak et al. . Gobrecht.5 nm Nanofabrication. no lenses • frequency multiplication: factor depending on the diffraction orders chosen (2x for 1st order). H. x-ray lithography (R&D) Nanofabrication.x-ray lithography (R&D) X-ray Interference Lithography (XIL) NB: same problem as EUV. • fransmission grating patterned with e-beam • coherent beam EUV: λ=13. Gobrecht et al. Nano III / mc / 149 J. Nano III / mc / 150 J. for PMMA field up to 3mm wide (coherence limited) 10 sec exposure. exposure param. Nano III / mc / 151 H. .x-ray lithography (R&D) positive tone negative tone “world record “ for photon-based lithography typ. Solak et al. λ=13. Solak et al. x-ray lithography (R&D) multiple beam interference Nanofabrication. Nano III / mc / 152 H.4nm Nanofabrication. PSI . 1 & 3 electron beam lithography (EBL) proximity effects Nanofabrication. Gobrecht. Nano III / mc / 153 Refs.electron beam lithography (EBL) precise (energy. Nano III / mc / 154 J. dose) relatively slow (industrial application: parallel beams) resolution limit ~ 10nm (50nm) no mask large DOF large scattering of electrons Nanofabrication. high cost Nanofabrication. Nano III / mc / 155 electron beam lithography (EBL) EBL compared to optical/UV lithography + precise control of dose delivery + fast beam deflection and modulation + smaller spot on resist (<10nm) as compared to light (500nm).slow exposure speed (scanning) . Nano III / mc / 156 .operation in vacuum (electron beam) . increased resolution + no mask needed (direct writing. "software" mask) + large depth of focus .electron beam lithography (EBL) dose test on PMMA: overcut to undercut (A. Kleine) note: undercut critical for lift-off Nanofabrication.strong electron scattering in solids (practical resolution > 10nm) . lithography: short summary • optical (mask contact or projection) • electron beam (direct writing) Nanofabrication. Nano III / mc / 158 B. Nano III / mc / 157 soft lithography and more "exotic" techniques microcontact printing (MCP) polydimethylsiloxane (PDMS) (e.. 2001 . DOW Corning) Nanofabrication. Michel et al.g Sylgard 184. Advanced Semiconductor Lithography. : immunoassay: crossed stamped lines) • stamp printed and etched pattern Nanofabrication. Nano III / mc / 160 B. 2001 soft lithography and more "exotic" techniques microcontact printing (MCP) master • first used to print alkanethiols on gold surfaces quick and cheap method to perform simple assays (e. Advanced Semiconductor Lithography.soft lithography and more "exotic" techniques microcontact printing (MCP) Nanofabrication. Advanced Semiconductor Lithography.. 2001 . Nano III / mc / 159 B.g.. Michel et al. Michel et al. Jung. Nano III / mc / 161 source: J. Pethica 1986 AFM Lithography Th. Gobrecht and J. Nanofabrication. Gobrecht soft lithography and more "exotic" techniques STM lithography Th. Jung et al. H. Nano III / mc / 162 .based lithography First SPM lithography J.soft lithography and more "exotic" techniques Scanning Probe Microscope . 1989 Nanofabrication. Hug et al. 1998 Nanofabrication. Nano III / mc / 164 J. Gobrecht .soft lithography and more "exotic" techniques Hot embossing: the principle Nanofabrication. Nano III / mc / 163 J. Gobrecht soft lithography and more "exotic" techniques Hot embossing: nanoreplication in polymers (NB: plasma etch before eavporation) S. Chou. (Reprinted with permission from3. the integrated intensity is equal in all transverse planes. Nano III / mc / 165 soft lithography and more "exotic" techniques Multiphoton polymerization Nanofabrication.soft lithography and more "exotic" techniques Multiphoton polymerization Fig. 1 Fluorescence in a rhodamine B solution excited by single-photon excitation from a UV lamp (left) and by TPA of a mode-locked Ti:sapphire laser tuned to 800 nm (right). June 2007 Nanofabrication. © 2007 Wiley-VCH. Nano III / mc / 166 Materials Today. June 2007 . while in the latter case the integrated intensity squared is peaked in the focal region. In the former case.) Materials Today. Nano III / mc / 167 Si nanowires: SOI wafers • Silicon on Insulator. semiconductor physics reminder Fabrication basics – IC fabrication overview – clean-rooms – Silicon: from powder to wafer – material deposition techniques – etching – lithography – examples of devices • Nanofabrication. state of the art. Nano III / mc / 168 . thermal oxidation 80 nm 60 nm 150 nm 500 μm • Si etched: TMAH SiO2 Si • Cr mask 1 .10 μm ~100 n • Contacts etched: HF m • • • Plasma etch: CHF3.Outline • Introduction: overview. NaOH Al contacts: deposit & anneal Nanofabrication. Cr removed: KMnO4. annealing (contact improvement). lithography (optical + e-beam). with e-beam). Knopfmacher .Si nanowires: SOI wafers Nanofabrication. Keller. Nano III / mc / 169 D. Nanofabrication. Nano III / mc / 170 D. O. Keller. O. spinning (resist). Knopfmacher Si nanowires • processes: thermal oxidation. Cr mask stripping (in solution) and wet etching of Si (NB: 1st wet etch of SiO2 surface oxide and then Si). dry etching (RIE SiO2 with CHF3). metallization (Cr mask. 2 Vpt (V) 0.8 -10 -8 -6 -4 Vg (V) -2 0 Nanofabrication.8 0.4 0.2 -0.0 -0. Nano III / mc / 171 molecular electronics: contacting molecules A V ~ 2 nm ? mc.000E-8 1.6 -0.4 0.6 0.Si nanowires G (S) 0.8 -10 -8 -6 -4 -2 0 Vg (V) pH 4 1.581E-8 5.2 5.8 0.000E-9 1.4 -0.6 -0.000E-10 pH 7 Vpt (V) 0.2 -0. Seminario Nanofabrication.581E-9 5.581E-7 5.6 0. Nano III / mc / 172 .4 -0.0 -0. J.000E-7 0. 106-107 V/m dielectrophoresis interaction “induced dipole moment / applied electric field” (particles with higher permittivity than medium: force towards the highest intensity of the field) positive dielectrophoresis r % α r r2 FDEP = V ∇ E 2 % α= 3ε m (ε p − ε m ) ε p + 2ε m % ε i = ε 0ε i − j σ ω 4 V = π d3 3 Nanofabrication. 200nm Nanofabrication. Nano III / mc / 174 L. Bernard et al. Nano III / mc / 173 trapping: dielectrophoresis AC field : 1Mhz.„direct“ nanogaps Nanogaps fabrication • UV + e-beam lithography • angle evaporation 0° a 1mm PMMA PMMA-MA SiO2 e wir is ax 10 – 20 nm gaps gold 15/50 nm thick L. . Bernard et al. . Nano III / mc / 175 break junctions mechanically controllable break junction suspended metallic bridge z 24mm • microfabricated junctions: e-beam lithography phosphor bronze / polyimide / Au oxygen plasma underetched elongation: e= 6thz/L2 reduction factor: r=e/z ≈ 1/100'000 • Nanofabrication. . Nano III / mc / 176 T.structure for DNA manipulation Ti/Au electrodes SiN SiO F. Gonzalez et al. Single Molecules. 2002 Nanofabrication. Dewarrat et al. master fabrication PDMS PDMS PDMS PDMS B.example: colloids arrays for molecular electronics PDMS A. Nano III / mc / 178 "stamper": Jon Agustsson . Nano III / mc / 177 example: colloids arrays for molecular electronics Nanofabrication. stamping transfer of the film to a PDMS stamp stamping on solid substrate: patterned array Nanofabrication. 4 ± 0.8nm (overlap ~ 5Å) dC16 = 3.3nm (overlap ~ 13Å) Nanofabrication.3 ± 0.patterned colloids arrays Patterned nanoparticle array SiO2/Si b c d 60° Nanofabrication. Nano III / mc / 179 J.3nm (overlap ~ 2Å) dC12 = 3.1 ± 0. arrays structure C8 C12 C16 C8 C12 C16 dC8 = 2.1nm lC16 ~2. Nano III / mc / 180 .1nm lC12 ~1.1nm lC8 ~ 1. Liao et al. Basel Nanofabrication. 24h OPE: A. Pfalz. Nano III / mc / 181 molecular exchange stable array (no aggregation) ~ "breadboard" Ar formation of an inter-linked network of particles by incorporation of the thiolated OPE 1mM OPE in THF.contacting • TEM grid as shadow mask a w l 20μm 20μm • e-beam metal deposition (5nm Ti / 40-60nm Au) evaporated contacts patterned arrays SiO2 Si Nanofabrication. Nano III / mc / 182 . vd Molen. cycle # (1cycle = 30s) Nanofabrication. Liao et al..a “switch” molecule: light "ON" λ1 > 420 nm (vis) λ2 = 313 nm (UV) "OFF" Nanofabrication. Adv. Mat.. J.4 "OFF" 2. Nano III / mc / 184 S.0 0 100 200 300 400 500 (open) meas.6 2.2 2.0 2.2 3..8 vis vis vis vis vis UV vis "ON" (closed) G (nS) 2. 2006 a “switch” molecule: light UV UV UV UV switch is on 3. Nano III / mc / 183 reversible switching on Au surface: Katsonis et al. . Nano III / mc / 186 J. Liao. .a “switch” molecule: redox oxidation: FeCl3 (iron chloride) reduction: Fe(C2H5)2 (ferrocene) S.. Decurtins et al. Nano III / mc / 185 a “switch” molecule: redox preliminary results experiment: exchange oxidation reduction C8 TTF (0) TTF ox (2+) TTF red (0) Nanofabrication. Agustsson. Nanofabrication. Wu et al. J. S. colloid arrays: platform for molecular electronics m mm μm nm Nanofabrication, Nano III / mc / 187 MEMS/NEMS and devices from MEMS (micro-electromechanical systems) … e.g. Si gears for watch industry: lower friction and inertia Nanofabrication, Nano III / mc / 188 CSEM & Ulysse-Nardin MEMS/NEMS and devices • electrostatic micromotor fabricated from silicon • individual mechanical micromirrors part of a Texas Instruments Digital Light Processor (MOEMS) Nanofabrication, Nano III / mc / 189 Physics World, Feb. 2001 MEMS/NEMS and devices fabrication process for such structures structural layer Nanofabrication, Nano III / mc / 190 sacrificial layer Physics World, Feb. 2001 substrate MEMS/NEMS and devices Nanofabrication, Nano III / mc / 191 MEMS/NEMS and devices … down to NEMS • • • • masses in 10-15g to 10-18 g range (∝ L3) resonators above 10GHz (∝ 1/L) (f ~ (spring cst/eff. mass)1/2, spring constant ∝ L) very low power: 10-18W threshold (thermal fluc. at 300K) low dissipation, high Q factor: sensitive to damping sensors (sensitivity potentially reaching quantum limit) • NB: 10x10x100 nm Si beam contains ~ 5 x 105 atoms, among which ~3 x 104 reside at the surface (i.e.: > 10% of the constituents are surface or near-surface atoms) Nanofabrication, Nano III / mc / 192 Physics World, Feb. 2001 Nanofabrication. 2420 (2003). 83. "Optical detection of quasi-static actuation of nanoelectromechanical systems" Appl. Karrai. Nano III / mc / 194 Fuhrer. Nano III / mc / 193 MEMS/NEMS and devices axis (CNT) an ch o rotor (metal) r 300 nm stator (control electrodes) Nanofabrication. and K. Zettl et al. Nature 2004 . Lorenz. Phys. Meyer. H..MEMS/NEMS and devices nano-tweezers: electrostatic actuation actuation amplitude down to 6 pm (rms)/ sqrt(Hz) Ch. Lett. Phys. IST program. Nano III / mc / 196 Tech. Phys.MEMS/NEMS and devices videos Nanomechanical Electron Transport: quantum-bell: max current at fdrive~ resonance freq. Ch Weiss. World 16. Lett. avg nb of e.shuttled per cycle A Erbe. Nano III / mc / 195 Integration: hybrid devices Nanofabrication. 096106 (2001) M Jonson and R Shekhter. Roadmap for Nanoelectronics. and R H Blick. 87. European Commission . W Zwerger. 21 (2003). Rev. Nanofabrication.
Copyright © 2024 DOKUMEN.SITE Inc.