Elector

May 21, 2018 | Author: Aniceto Fernandez Limachi | Category: Bluetooth, Computer Hardware, Usb, Light Emitting Diode, Hybrid Vehicle


Comments



Description

www.elektor-magazine.es magazine Marzo 2013 | 6,50 e El lujo de la precisión al alcance de todos Medidor LCR de 500 ppm Placa de prototipos para Raspberry Pi l Reloj despertador 7-uP l Termolibro l Contando con FPGA l Driver LED l Kit Audion 1956 Noticias desde el Frente l Errores en prototipos Datos Libres Programa como un pro PCB’s Multicapa Prototipos powered by Eurocircuits Pequeñas series Calidad Profesional Servicio de Calidad Pedido Seguro Elektor PCB Service de un vistazo: • 4 servicios específicos de panel compar-  tido y 1 servicio sin agrupamiento Entrega a • Servicio gratuito de verificación de datos  de PCB online partir de • Calculadora de precios online  2 días • Sin pedido mínimo  • Sin cargos por filmación o puesta  laborables en marcha Más información y pedidos en www.elektorpcbservice.com Un Mejor Diseño para la Enseñanza de la Ingeniería V1 12 V U1 C3 10nF – C4 R4 270kΩ + 741 100nF 5% V2 C3 10nF 12 V No solo enseñe ingeniería. Haga ingeniería HERRAMIENTAS DOCENTES Enseñar diseño de circuitos sin un método eficaz para ir desde el concepto NI LabVIEW a la experimentación, es como describir a alguien como aparcar un coche NI myDAQ sin permitirle conducir y aparcar. National Instruments proporciona a los estudiantes el hardware y el software que necesitan para experimentar, ir NI ELVIS más allá de la teoría y de la simulación y saber lo que significa hacer ingeniería. NI Multisim 91 640 0085 >> Aprenda cómo NI soporta la próxima generación de innovación en ni.com/academic/esa 93 582 0251 National Instruments Spain S.L. ■ Europa Empresarial ■ c/Rozabella, 2 - edificio Berlin ■ 1a planta ■ 28230 Las Rozas (Madrid) ■ España Tel: +34 91 640 00 85 ó 93 582 0251 ■ Fax: +34 91 640 05 33 ó 93 582 4370 ■ CIF: B-80021462 Inscrita en el Registro Mercantil de Madrid, Folio, 115, Tomo 1181, Hoja N°22335, Inscrip. 1a ■ Sociedad Unipersonal S.L. ©2012 National Instruments. Todos los derechos reservados. LabVIEW, National Instruments, NI, and ni.com son marcas registradas de National Instruments. Los nombres de los otros productos y las razones sociales mencionados son marcas comerciales o nombres comerciales de sus respectivas compañías. 04556 Contenidos magazine Industria Labs Proyectos 8 Noticias y Nuevos Productos 16 Últimas Noticias desde el 22 Medidor LCR con precisión del Un vistazo mensual a lo último Frente 0,05% (1) en productos y componentes ¿Qué se está cocinando, creciendo Componentes analógicos de alta electrónicos. o empezando a investigarse en calidad, un microcontrolador Elektor.Labs? totalmente adecuado para el trabajo y un cuidadoso diseño dan 20 Sustituto de 7805 quemado como resultado un Medidor LCR de Testimonio de las pruebas que alcanza una precisión destacable, hicimos en nuestro sustituto especialmente considerando que se conmutado del 7805 de noviembre puede construir en casa. ¡Hazle un de 2012. hueco en tu banco de trabajo! 20 Problemas en fuente de ali- 34 El Reloj Despertador / Comunidad mentación Conmutador Temporizado Como lo chicos del laboratorio “7-up” (2) descubrieron y eliminaron un En esta segunda y última entrega, 12 El Mundo de Elektor fallo de montaje de una fuente de Michael J. Bauer describe los • ¿Qué hace Mickey Mouse en un alimentación de laboratorio. esquemas y el montaje de este útil chip? despertador. • Delante de la cámara, detrás de la 21 Errores en prototipos cámara y detrás de la rueda ¿Puedes descubrir que es lo que 42 Placa de Prototipos para • 25 aniversario de Circuit Cellar está mal sin darle la vuelta a la Raspberry Pi • Descarga tu poster gratuito de revista? Todo el mundo entre 8 y 80 años Raspberry Pi parece estar haciendo software y 4 | marzo 2013 | www.elektor-magazine.es Tech the Future 78 El próximo mes en Elektor 54 Driver universal para LEDs de 68 Datos libres: Hackear la Un vistazo a los artículos potencia democracia seleccionados para la siguiente Los LEDs de potencia son cada vez En muchos sentidos. nº 393 Marzo 2013 ‘cosas’ para la RPi. dando una palmada. haremos modo manual. 70 Kit Audion ‘Radiomann’ resultará una ayuda excelente. User Constraint File (UCF). Esto 58 Programa como un “pro” es. por ello cada paso del Open Source es el Open vez hay más integrados para montar Data. sin derechos reservados.elektor-magazine. Volumen 34 . aprenderemos a utilizar el con un toque electrónico. el siguiente edición.es | marzo 2013 | 5 . pero son pocos desarrollo de hardware se aplican los que se atreven a iniciar diseños cada vez más al desarrollo de Magazine de ampliaciones hardware. Editora de la serie: Tessel Renzenbrink. Como ejemplo. Para software para reducir el número de ello. fáciles Aunque los diagramas de estado de encontrar y suministrados en un proceden originalmente del formato legible por una máquina. www. libremente para todo el mundo. (1956) 62 Termolibro Que levanten la mano todos 48 Diseña tu propio integrado (3) Un aspecto diferente para un aquellos que se engancharon a Este mes creamos una estructura termómetro/higrómetro que muestra la electrónica después de que de proyecto FPGA jerárquico con ambas magnitudes de forma su padre. Nuestro rompecabezas mensual De paso. experimentación. esta placa de prototipos te bugs al realizar un programa. su tío o los Reyes componentes desarrollados por ti alternativa automáticamente o. un sencillo contador ascendente/ descendente con un display LED 73 Hexadoku de dos dígitos de siete segmentos. de Magos le regalaran este kit de mismo. que deja datos disponibles un driver adecuado para ellos. mejores y más baratos. Oficinas Centrales: El Medidor LCR de 500 ppm (o lo que es lo mismo. Wisse Renuncia Los precios y descripciones de los productos relacionados con Hettinga.v. expansión y las alimentaciones. Luc Lemmens. espero que tengas suficiente experiencia discos. debe ser una fiesta para para los manipuladores de LSBs y también P. Jens Nickel. y no pueden ser reproducidos o difundidos de ninguna forma ni por también a la comunidad de Elektor. tiene su propio club de fans. Director de marca: Wisse Hettinga © Elektor International Media b. España Teléfono. 2012 Director general: Don Akkermans 6 | marzo 2013 | www. Marzo 2013 Depósito Legal: GU. España revisar: microcontroladores y microvoltios Teléfono: +34 91 101 9395 Fax: +34 91 101 9396 (y -amperios. También será preciso disponer del citado permiso antes de almacenar cualquier Eduardo Corral.es) no garantiza la devolución del material a él enviado. ningún medio. La presentación de diseños o artículos implica que el Editor está autorizado a modificar los textos y los diseños presentados y a utilizar los contenidos en otras El Equipo publicaciones y actividades de Elektor International Media. ya que combina con bastante éxito algo de lo mejor The Netherlands. Editor parte de esta publicación en sistemas de recuperación de cualquier naturaleza. en la Fax: +34 91 101 9396 placa de ampliación para Raspberry Pi que también encontrarás en esta edición. CD-ROM’s.es . El Editor no acepta responsabilidad alguna en ausencia de identificación de la citada patente(s) u otra protección.l. 28042 – Madrid. las técnicas de diseño digitales y analógicas que he visto en mucho tiempo. y a veces también corporativos. y cuyos miem- bros son fácilmente distinguibles por su Suscripciones: Elektor International Media Spain. como te va ‘LCRando’. Mart Schroijen Los precios y descripciones de los elementos relacionados con la publicación están sujetos a cambios. David Márquez. A continuación.v. artículos. publicamos este mes. son responsabilidad Raymond Vermeulen. el éxito está asegurado. s. ordenador. etc. son dos mundos separados.l.es Email: publicidad@elektor. Jan Visser exclusiva de sus autores. diseños de circuitos impresos.3-1980 31/12/2006 Superando los obstáculos ISSN 0211-397X bit a bit Editor: Elektor International Media Spain. Redacción Internacional: Harry Baggen.es es fácil encontrar por ahí grandes cantidades de software y hardware listo para utilizar.05%) de Jean-Jacques Aubry que Elektor International Media b. 28042 – Madrid. Están excluidos los errores Director online: Daniëlle Mertens u omisiones. Excluidos errores y omisiones. Denis Meyer. Jerez de los Caballeros.l. Aunque Internet: www. descritos en esta revista pueden estar protegidos bajo patente. circuitos integrados programados. empe- Los circuitos descritos en esta revista son exclusivamente para uso zando en la zona de prototipos donde están disponibles todas las señales del conector de doméstico. Incluso si no tienes la menor intención Publicidad: de montar este sofisticado instrumento. descripciones de como se ha llegado a este diseño. -henrios. Por favor. tanto en términos de Hardware como Apartado de Correos 62011 de Software.es separados por una gran distancia. Box 11 NL-6114-ZG Susteren para los adictos a los microhenrios. s. +34 91 101 9395 Internet: www. cada uno de los cuales Elektor se publica 10 veces al año con edición doble para Enero/ Febrero y Julio/Agosto. -faradios. el contenido de los mensajes publicitarios es responsabilidad de los anunciantes. El Editor Editor: Eduardo Corral (e. Si lo publicados en nuestros libros y revistas (que no sean anuncios de terceros) están registrados por Elektor International Media BV y haces bit a bit.elektor. +34 91 101 9395 Otro aparente hueco en la actual escena electrónica/embebida se muestra. Clemens Valens la publicación están sujetos a modificación. escaneos o grabaciones.. Número 393. retándote literalmente a desarrollar tu propio hardware para RPi. hay un montón de cosas que aprender en las Elektor International Media Spain. s. incluidas fotocopias. cuéntame. Diseño gráfico y preimpresión: Giel Dols. Estoy convencido de que el artículo de Tony Dixon puede equilibrar un poco Derechos de autor este asunto.elektor-magazine. portadores de software y los textos de los artículos para ir reduciendo el número de componentes con un poco de inteligencia software. en un entorno poco ruidoso. Así mismo. al menos en Fax: (+31) 46 4370161 la ámbito de las cosas construidas por uno mismo.es eléctricos.•Comunidad Volumen 34. Las opiniones expresadas a lo largo de los distintos Equipo de diseño: Thijs Beckers. ‘RPiando’ y ‘Elektoreando’. así como el contenido de los mismos. parcial o totalmente sin la previa autorización escrita del Editor. Jan Buiting. fotografías. dispositivos. Los derechos de autor de todos los gráficos. …) están Internet: www. el 0. Teléfono: +31 (0)46 4389444. he Tarifas y condiciones de publicidad disponibles visto que escasea bastante la información para hacer prototipos basados en este pequeño bajo petición.O. y elimina.elektor. componentes. España mientras que los chicos analógicos están Teléfono. Thijs Beckers.es/miembros siempre persiguiendo y combatiendo ruidos Email: suscripciones@elektor. 2 Esta es una suposición a considerar o a 28042 – Madrid. Ton Giesberts.corral@elektor. actividad: los expertos digitales están siem- Apartado de Correos 62011 pre eliminando errores de programación. Los circuitos.elektor. . .tr South Africa Johan Dijk +27 78 2330 694 / +31 6 109 31 926 j.com . .elektor-magazine. .com/es .es (+34 911019395) [email protected]@elektor. .Russia@gmail. .delcorso@inware. .com Italy Maurizio del Corso +39 2.es www. .com .com . . .nl United Kingdom Te conectamos con Wisse Hettinga +31 (0)46 4389428 w. .meyer@elektor. . .es .com China ¿No eres patrocinador aún? Cees Baay +86 21 6445 2811 Ponte en contacto con nosotros en [email protected] Eduardo Corral Nuestras redes +34 91 101 93 95 e. 2 www. 41 www. . . .in Circuit Cellar National Instruments Russia www. . . .microchip. . . .com Germany Ferdinand te Walvaart +31 46 4389417 f. . .ni.reichelt. . . . .it Sweden Wisse Hettinga +31 46 4389428 w.66504755 m. .com [email protected] USA Hugo Vanhaecke +1 860-875-2199 h. .es | marzo 2013 | 7 . .cc-cccess.hettinga@elektor. Malekar www.corral@elektor. . . . . .com. .de France Denis Meyer +31 46 4389435 d.vanhaecke@elektor. . . .martins@editorialbolina. . 19 www. 80 +91 9833168815 [email protected] Empresas Colaboradoras India AudioXpress Microchip Sunil D.elektorpcbservice. .fr Netherlands Harry Baggen +31 46 4389429 h. . .com Portugal João Martins +351 21413-1600 joao. 3 Nataliya Melnikova +7 (965) 395 33 36 Eurocircuits REICHELT Elektor. .com Brazil João Martins +55 11 4195 0363 joao.com . .com para reservar tu propio espacio en la próxima edición de nuestra revista [email protected]@elektor. . . 15 Turkey Zeynep Köksal +90 532 277 48 26 [email protected]@elektor. fuentes el rango de temperaturas (de -40 a 125°C) cuando se aplica de alimentación. Se pueden solicitar muestras de ingeniería. 3N).•Industria Sensor de efecto Hall programable de alta velocidad para aplicaciones de sensado de corriente de respuesta rápida Sensado sin contacto para diseños en energías renovables y rado por las corrientes que circu- vehículos híbridos y eléctricos lan por el conductor a una tensión El auge de las energías renovables y la movilidad exige una tec.melexis. anuncia el nuevo no tiene limitaciones MPLAB XC32++ PRO compilador MPLAB® XC32++ para mi. C++2003 ANSI. proporcional al campo. nología de sensores de próxima generación. El MLX91209 es un CI sensor monolítico PROM interna. que el ±0.elektor-magazine.5% a temperatura ambiente o del ±2% para todo vil. ponibles dos tipos de licencia. La calibración se totalmente programable por el usuario. www. www. distribuidor para España y dor MPLAB XC32++ cia para un usuario. Portugal de Microchip. El sensor se suministra en inversores del automóvil encargados del motor de tracción en un encapsulado SIP de 4 patillas sin plomo y conforme a vehículos híbridos y eléctricos. www.sagitron. una licencia de red El compilador gratuito MPLAB® XC32++ ciones comerciales. Además también in. del MLX91209 es totalmente pro- dida de alta velocidad MLX91209 ofrece una solución óptima.com y C++. Además tiene un Network Server Li- troladores microchip PIC32 y permite periodo de prueba cense (SW006023- a los ingenieros desarrollar y reutilizar de 60 días en los cuales las optimiza. La precisión típica de un sistema El MLX91209 ha sido diseñado para cubrir la demanda de una de sensado de corriente basado en el MLX91209 es mejor gran variedad de aplicaciones de la electrónica en el automó. gramable por el cliente. Paráme- Melexis avanza el sensado más avanzado de corriente sin tros como sensibilidad y offset se contacto para aplicaciones en energías renovables y vehículos almacenan en una memoria EE- híbridos y eléctricos.com 8 | marzo 2013 | www. ferromagnético de forma que se obtiene una sensibilidad tación en un diseño de núcleo ferromagnético. en tiempo o en códi. para el XC32 también pueden comprar la mayoría de los estándar C++98 and Para tener todas las optimizaciones la ampliación para el XC32++. están dis. El MLX91209 permite gramación no necesita ninguna otra patilla. La calibración a medida se realiza mejor in-situ una vez fi- to galvánico. Sus principales aplicaciones son la monitorización de El MLX91209CA se caracteriza por un rango de sensibilidad la corriente de la batería en convertidores de energía solar e programable de 5 a 150mV/mT. La presentación por La característica de transferencia parte de Melexis de su sensor de efecto Hall programable a me. lizada para aplica. Una salida analógica lineal permite precisa y programable con un rápido tiempo de respuesta. conversión de energías renovables (solar y eólica). rápido tiempo de respuesta y encapsulado de jado el sensor respecto al conductor de corriente y el núcleo pequeño tamaño. calibrada de la corriente. Workstation Licen- crocontroladores de la familia PIC32 y go y puede ser uti. brecarga.es .microchip. la licen. precisas de corriente CC y CA hasta 200kHz con aislamien. analógica de alta velocidad que es proporcional a la densidad Este método modula la tensión de alimentación y para su pro- de la corriente aplicada externamente. El encapsulado SIP facilita su implemen. se (SW006023-3) y desarrollos en la lenguaje C++. Los clientes que ya tienen licencias proyectos en C++ ya que cumple con ciones están totalmente disponibles. habilitadas una vez expirado el perio- cluye el estándar Dinkumware® para C do de pruebas de 60 días.com Nuevo compilador MPLAB® XC32++ para microcontroladores PIC32 y desarrollos en C++ Sagitrón. MPLAB XC32++ PRO tiene soporte para todos los microcon. Este sensor de efecto Hall de RoHS. la calibración de final de línea en el propio circuito. alta velocidad está homologado para el automóvil y detecta la corriente mediante la conversión del campo magnético gene. La versión gratuita del compila. control de motores y protección frente a so. se suministra en un lleva a cabo mediante el conocido encapsulado SIP estándar y proporciona una señal de salida protocolo PTC (Programming Through Connector) de Melexis. el uso del sensor en aplicaciones que exijan un tiempo de res- El MLX91209 resulta especialmente indicado para medidas puesta muy rápido de 3µs. por lo que su pro- que el usuario realice una solución de sensor de corriente ducción es la más eficiente. 000 lecturas de memoria en placa. Bandeja de almacenamiento reutilizable. Generador de Forma de Onda Arbitraria. Noticias Agilent Technologies presenta un multímetro digital de banco de trabajo diseñado para acelerar las aplicaciones de medida y prueba electrónicas Agilent Technologies Inc. Tarjeta informativa de iniciación. y es capaz de medir cas básicas y proporciona análisis de datos sencillos. Al instalar el controlador de hardware NI ELVISmx dispondrás de: Multímetro Digital. Sus múltiples opciones de conectividad. www. Su pantalla OLED de alto con- incluyen USB 2. funciones de medida utilizando el menú de una página. tra por segundo. Conector de tornillos con destornillador NI. Osciloscopio.agilent. por lo que los usua- acelerar las aplicaciones de medida rios pueden registrar hasta 14 horas de datos a una mues- y prueba electrónicas para usos industriales y educativos.elektor-magazine. compatibilidad de código incorporada simplifica la migración “Al trabajar en un entorno que avanza a pasos agigantados. Vicepresidenta y Di- rectora general de la División de Instrumentación Básica de www. Más información y pedidos en: www. medida diarias”. Además. Generador de Salidas Digitales y Lector de Señales Digitales. ha pre.es/myDAQ ¡Atención! Para poder adquirirl NI myDAQ deberás acreditar tu condición de estudiante. tu ordenador se convierte en el instrumento. Cable USB. Cable de audio (3.elektor. Analizador Bode. Generador de Funciones. interfaz serie (RS­232) y bus de interfaz traste permite a los usuarios configurar de forma sencilla de uso general (GPIB ). ¡NI myDAQ por solo 149 Euros! (incluyendo licencias de estudiante de LabVIEW y Multisim) Contenido del paquete: Dispositivo NI myDAQ. que ha sido diseñado para 50. que zar 11 funciones de medida. Puntas de prueba para el multímetro.com Publicidad Todo un laboratorio de electrónica en un solo dispositivo USB Con NI myDAQ. para simplificar las tareas diarias. Agilent. hasta 190 lecturas por segundo con una precisión de DCV Este dispositivo con doble pantalla multifunción puede reali- del 0. DVD de instalación que contiene NI ELVISmx y NI DAQmx. Analizador de Señales Dinámicas.015%. La bilidad a la hora de transferir datos a un PC para su análisis. Tarjeta infor- mativa de programas de ejemplo de TI.es | marzo 2013 | 9 . Ocho instrumentos de ingeniería para trabajar como y donde tu quieras. “Las rápidas funciones de medida del 34450A satis- sentado el multímetro digital de facen de verdad sus necesidades de productividad”. realiza funciones estadísti- trabajo más rápido de su categoría.0. afirma Ee Huei Sin. banco de trabajo de 5½ dígitos El multímetro digital 34450A de Agilent puede ofrecer hasta 34450A. de programas de prueba existentes al multímetro digital los clientes esperan lograr innovaciones en sus tareas de 34450A. El 34450A de Agilent es el multímetro digital de banco de incluye un histograma integrado.5 mm). ofrecen a los usuarios mayor flexi. así como las versiones para estu- diante de LabVIEW y Multisim con sus licencias correspondientes. que ofrece una do la potencia de procesamiento de los biblioteca de funciones optimizada para ordenadores modernos en lugar de los www. audio inalámbrico Bluetooth.es . resolución vertical que un osciloscopio PXI-5162 permite a los clientes tradicio. Para agilizar aún más el diseño de ac- les de audio y datos de Bluetooth para to como smartphones y tabletas. El módulo de audio Bluetooth RN52. El módulo Audio Evaluation Kit. auriculares. NI. número de referen- señadores la incorporación de audio RN52 de Microchip. ideal para los sistemas de digitalización Warntjes. dijo Steve ración de reloj. funciones de datos. HFP/HSP y AVRCP. que se basa en la cia RN-52-EK. nú- riedad de aplicaciones como altavoces ción de la pila en el módulo proporciona mero de referencia RN-52-I/RM. en una amplia va. vos médicos y accesorios informáticos.ni. Este nuevo kit ya se en- inalámbrico de alta calidad.5 GHz y a sus cuatro ca. Analysis Toolkit. contribuyendo así a que los diseñadores www. alta velocidad junto con LabVIEW Jitter ración del jitter aleatorio y determinista positivos. montaje superficial e incluye los perfi. un modelo de sencilla utilización y dise. incorpora la pila Bluetooth. los instrumentos tradicionales en el caso - Funciones integradas para la recupe- nales en un slot. con capacidad de ampliación a sus 10 bits de re. La integra. también estéreo inalámbricos. que requieren un número elevado de sarrollo de los instrumentos modulares de -  Programas de ejemplo para diagramas canales para las pruebas de fabricación. ño resistente que funciona con cualquier compacto para montaje superficial. proporciona medidas de cidad. a 68 canales en un solo chasis PXI. Jitter Analysis Toolkit.microchip.com/es 10 | marzo 2013 | www. Las ventajas de la tecnología inalámbrica. solución vertical y 5 GS/s de velocidad “La combinación de medidas de alta velo. ción dual-Dirac y basados en el espectro. La pila Bluetooth embebida en el un Módulo de audio Bluetooth® certifi. cuentra disponible por 169. una mejor definición de la señal.25 GS/s en cua- alta velocidad con cuatro veces más de resolución que ofrece el digitalizador NI tro canales simultáneamente. diagrama ojo. “El uso de nuestros digitalizadores de de ojo y pruebas de máscaras y sepa- investigación y caracterización de dis. AAC y MP3. de alto rendimiento osciloscopios tradicionales. todas las plataformas de smartphone. RN52 incluye los conocidos perfiles SPP.95 dólares. Características de LabVIEW Jitter Analysis tradicional de 8 bits.” talizador NI PXI-5162 de jitter.•Industria Microchip añade un módulo Bluetooth® para audio en streaming como solución inalámbrica certificada Microchip anuncia la ampliación de su comercialicen sus accesorios con mayor catálogo de productos inalámbricos con rapidez. así como la iAP música. director de investigación y de. el NI PXI-5162 resulta de las pruebas automáticas”. ma. aptX®. dispositi. de producción. El módulo RN52 se caracteri. Los ingenieros pueden utilizar Analysis Toolkit ayuda a los ingenieros a (RJ/DJ) utilizando métodos de separa- el digitalizador con LabVIEW y LabVIEW acelerar sus sistemas de medida utilizan. junto con mercados de rápido crecimien.com El digitalizador PXI de NI y las herramientas de análisis de jitter de LabVIEW incrementan la flexibilidad y el rendimiento de las aplicaciones tradicionales de los osciloscopios National Instruments realizar las medidas antiguos procesadores embebidos de los ha anunciado el digi. El nos de validación au. están cesorios Bluetooth basados en el RN52. - Cuatro canales en una solo slot 3U PXI digitalizador. impulsando la demanda de accesorios de Microchip presenta también el Bluetooth Estas características facilitan a los di. Gracias a su ancho nales de osciloscopios pensar más allá de Toolkit: de banda de 1. para iPhone® e iPod®. medidas de nivel y tiempo. elevado número de canales y alta GS/s en un canal ó 1. jitter.elektor-magazine. así como tecnología adquirida a Roving Networks. diagramas Características de NI PXIe-5162: y las actualizaciones de ojo y ruido de fase - 10 bits de resolución vertical para de LabVIEW Jitter exigidos en los entor. microprocesador o microcontrolador. gracias tomática y pruebas Express. El RN52 también za por su consumo extremadamente es compatible con diversos codecs de au- reducido en un pequeño formato para dio como SBC. - Velocidad de muestreo máxima de 5 de muestreo. cado con soporte para audio de voz y A2DP. se encuentra ya disponible en un formato nos libres para el automóvil. astrónomo o aficionado. dBm.” Ha añadido A/m.es/rf-app s//rf patillas y canal individual que ofrece al usuario la posi- www.” El modelo TX38D18VM2BAA admite interfaz LVDS de 20 www. ERP. para Android vado (1200cd/m²). La para RF. dBμV/m) color excelente. p ahora! cluidos entornos con luz ambiental intensa. los componentes y los materia- les de pantalla más avanzados para lograr soluciones de Toolbox visualización asequibles. •Diseño de filtros (Butterworth. in. esta Mark Stephenson. El módulo de visualización presenta unas dimensiones mecáni- cas de contorno de 326.0 pulgadas. Lite+ ofrece a los clientes pantallas con un rendimiento Destacados: óptico y una calidad de producto excepcionales. Chebyshev.5 mm (pr). Los módulos de visualización Lite+ tam. Publicidad 2BAA es una pantalla de modo transmisivo con resolución XGA (1024 x 768 píxeles). iluminación posterior LED de larga •Atenuador PI y T duración y relaciones de contraste elevadas que permi. emisión de tic- kets.7M de colores respectivamente. Ya seas profesional. Noticias La gama KOE Lite+ se amplía con una pantalla TFT de 15 pulgadas bilidad de elegir entre datos RGB de 6 bits o de 8 bits con el fin de disponer de una paleta de 262K o 16. microondas y electrónica en relación de contraste 700:1 garantiza una reproducción general. OIP2. Gauss.5 mm (al) x 11. prototipo) bién cuentan con resoluciones de pantalla e interfaces •Y más de datos estándar para contribuir a la simplificación del Más información en proceso de diseño e integración. Director técnico de productos de KOE app pone la mayoría de las herramien- Europe. adecuado para aplicaciones de se- ñalización digital. al tiem- •Amplificador en Cascada (NF. La pantalla implementa resolución e interfaz estándar KOE Europe ha anunciado la incorporación de una nueva www. •Temperatura de Antena (Kelvin) ten mostrar imágenes luminosas y con una definición de •EMC (EIRP. aficionado. da que resulten idóneos para numerosas aplicaciones. OIP3) comercialmente. La pantalla de 15 pulgadas ofrece un valor de brillo ele.000 horas de funcionamiento con brillo intermedio. La TX38D18VM. facturación y kiosko.es | marzo 2013 | 11 . po que garantizan una solución de visualización viable P1db. V/m.com pantalla TFT de 15 pulgadas y alto rendimiento a su gama de módulos de visualización LCD Lite+. W) ¡Descarga tu ap •Calculadora de trazado de PCB que “entre las prestaciones clave de las pantallas Lite+ (impedancia/dimensiones) figuran su alto brillo. Tesla.elektor-magazine.koe-europe. La gama ampliada de tempe- raturas de funcionamiento de -30°C a +80°C per- mite utilizar el modelo TX38D18VM2BAA en apli- caciones con condiciones ambientales extremas. Gain. lo que se consigue mediante una La RF & Microwave Toolbox contiene iluminación posterior LED de color blanco que ofrece 43 herramientas de cálculo y conversión 50. La gama de módulos Lite+ de KOE. está diseñada y fabricada Elektor RF & Microwave empleando la tecnología. de reciente aparición. radio- clara y concisa de las imágenes. se suministra a través de los partners de distribución de KOE Europe y está disponible en toda Europa de forma inme- diata. punto de venta. Nuestra estrategia consiste en ofrecer •Convertidor de intensidad de campo y productos de visualización con la tecnología más avanza- densidad de potencia (W/m2. ha señalado que “la nueva gama de productos tas importantes al alcance de tus dedos.elektor.. El nuevo módulo de visualización TFT Lite+ de 15.5 mm (an) x 253. La mayoría de las veces como diversión pero que. de Holanda. cada hora. en cualquier Wisse Hettinga momento los diseñadores y los aficionados están ideando. Cuando su hermano estaba Su pasatiempos es el de ocupado soldando en el inspeccionar la arquitectura exterior del cobertizo. días a unos 3 minutos! Inspeccionando el diseño de 4x4 mm del CI de silicio real. modificando. Elektor World conecta algunas de estas actividades y eventos. Peter se dio cuenta de una pequeña mancha. ex-empleado de Mostek dice: ‘Acostumbrábamos a poner todo tipo de diseños en los circuitos integrados. En un momento dado. Elmer Guritz. que terminó siendo comprada por Thomson Francia. Colocándola bajo una lupa descubrió una broma del desarrollador: ¡Mickey Mouse estirando sus brazos entre los terminales ‘7’ y ‘12’! Buscando más información en Mostek y de por qué Mickye Mouse se había quedado grabado en el diseño. con lo que la historia de perdió en el tiempo. encontramos que la compañía fue demandada por los abogados de Disney debido a una publicación en la revista “Electronics Magazine”. por supuesto. tanto como diversión o como negocio. cada minuto. Era un un chip? circuito capaz de controlar pantallas de dígitos El tema del último de Elektor World trataba sobre de 7 segmentos. Mickey en un CI reloj que alguien poco listo publicó en Electronics Magazine…’ Mostek fue comprada en 1979 por United Technologies Corporation.elektor-magazine. en ocasiones. “Woodstock” en una calculadora HP. pero quizás haya gente por ahí esperando a ayudarnos a encontrar esa rata en un CI Magnavox… ¡echad una ojeada! 12 | marzo 2013 | www. Peter recuerda: “un diseño muy una exploración profunda de algunos CIs majo pero para nada preciso y también muy usando un baño de ácido que generó sensible a todo tipo de de distorsiones una agradable respuesta de Peter de la línea de alimentación AC”. ¡el CI de los diseños de viejos recortó repentinamente 3 circuitos integrados (CIs). una rata en un CI Magnavox y. van de Wetering. se convierten en oportunidades de negocio muy serias. haciendo ingeniería inversa y desarrollando nuevos dispositivos electrónicos. por ejemplo.es . Peter tuvo acceso al ¿Qué está haciendo Mickey Mouse en interior de un CI MK5017AA de Mostek.•Comunidad El Mundo de Elektor Recopilado por Cada día. • El Futuro: pronósticos sobre el futuro de la ingeniería eléctrica embebida. seminarios y proyectos de diseño que cubren la ingeniería eléctrónica profesional y las últimas novedades sobre el diseño de sistemas embebidos.com/25th-anniversary/home/>). Universidad de Utah) sobre el futuro de peque- ños microcontroladores con memoria RAM. la tecnología embebida y la industria de los microcontroladores. Durante su charla de 30 minutos. • Dave Tweed (Ingeniero/Editor. En segundo lugar. por David Letterman. en San José. Jan Buiting. • El Presente: ensayos sobre los tópicos de la ingeniería electrónica de hoy día (principios esenciales del diseño embebido. La revista Circuit Cellar fue fundada en 1988 por Steve Ciarcia que. El objetivo de la Edición del 25 Aniversario de Circuit Cellar es conmemorar los 25 años de la revista Circuit Cellar. los jóvenes estaban maravillados por los viejos equipos presentados a la vez que los espectadores de más edad confirmaban que Internet era útil.es | marzo 2013 | 13 . seguridad embebida y mucho más). fue tomada en Sudáfrica por Brian Tristam Williams en su camino de vuelta a casa desde el trabajo). diseño de interfaces de usuario. que proporciona artículos. con asistentes certificados por todo el mundo (mira la foto del portátil en el asiento del pasajero. Hoy. Por un lado. registraos en www. pruebas y entrevistas: • Steve Ciarcia (Fundador.elektor-magazine. una transmisión por Internet de este tipo permite que equipos electrónicos más antiguos se acerquen a la era de las Apps moviendo unos pocos conmutadores y usando una cantidad insignificante de bits en la web. • John Regehr (Profesor. mundo de Elektor Delante de la cámara. Circuit Cellar) sobre 25 años de pro- yectos con diseños embebidos. www.com/webinar CC25 Hace más de un año durante una conversación a la hora de comer. anteriormente tenía asignada la autoría de la columna “Ciarcia’s Circuit Cellar” en la revista BYTE. El número está dividido en tres secciones principales: • El pasado: artículos sobre los últimos 25 años de la revista Circuit Cellar (proyectos de diseño memorables de las edciones del pasado). Para asistir al próximo ‘webminar’ del 21 de febrero. California. Esta edición incluye los siguientes artículos. fue notable en varios aspectos. Circuit Cellar) sobre la historia de la revista. Circuit Cellar es una publicación de Elektor International Media. el experto en anclas de barco y electrónica antigua ‘residente’ en Elektor. el seminario en la red (‘webinario’) de ‘Lo mejor de Retrónica’ que hicimos el 24 de enero. los empleados de Circuit Cellar comenzaron a preparar el Circuit Cellar 25th Anniversary Issue (la Edición del 25 Aniversario de Circuit Cellar). así como ensayos y entrevistas sobre las primeras tecnologías embebidas.elektor. Ya sabéis a que me refiero. el equipo está contento de poder anunciar que el número está listo para todo que el mundo lo pueda leer (<http://circuitcellar. en el Cuartel General (HQ) de Elektor. ¡Las más de 80 entregas de Jan ahora también en un libro! El ‘webinario’ fue grabado y almacenado y lo podéis ver cuando mejor os venga: comenzad en element14. así como documentar la historia de la tecnología embebida desde finales de los años 1980.com/webinars. detrás de ella y detrás de la rueda Filmado en un estudio no muy adecuado. por favor. esas páginas nostálgicas que suelen estar hacia el final de la revista. mostró algunos de sus artículos favoritos tratados desde 2004 en su columna ‘Retrónica’. Hoy día. en Holanda. elektor. Descárgate gratis tu poster Raspberry Pi en www. Stefan Skarin (CEO.cc-webshop. Entrad en www. ARM) sobre el futuro del ‘prototipado’ rápido.es/poster 14 | marzo 2013 | www. Microchip Technology).es . • Simon Ford (Director de Herramientas Online.elektor-magazine.com/CC25-Anniversary-Issue-FI-2013-CC25. • … y mucho más.htm para más información sobre este número único. Adafruit Industries) sobre el futuro de la revolución DIY.•Comunidad • Limor Fried (Fundador. National Instruments) sobre el futuro de la tecnología. y Jeff Kodosky (Co-Fundador. IAR Systems). También hay entrevistas con Steve Sanghi (CEO. 9 24V 1.80 10 polos FIN 55.95 FIN 55. errores y cambios en los precios.50 6 V DC 90 Ω Extintor de EPROM MS 500D 1.: 250 VCA EPROMLÖSCHER 49.10 24 V DC 600 Ω Fuente de alimentación: MW 3N06GS 5.: 1500 VA VS GQ16F-GN 6.90 verde 2.95 Microconmutador Joystick Relé de acoplamiento. CA1: 1750 VA de conmutación orientación vertical Accesorios adecuados: FIN 55.9 24V 499. IP 65 Relé de red estrecho especialmente flexible y fácil de manejar FTR-LYCA • Ø 16 mm.45 12 V DC 140 Ω FIN 55.lt/7V Dispositivo de programación Pulsador. Salvo venta.es Más de 40 años de experiencia +49 (0)4422 955-333 Más de 40.20 KDR 10 1.8 VS GQ16F-RT 7. 2 A.34.8 24V 4.lt/7W  Todos los relés en: http://rch.34. Todos los precios se indican en € con el IVA legal incluido. codificación DIP Relé industrial • 0.85 7. . 2 contactos GALEP GALEP GAL PV • con microconmutadores y contactos inversores.125 V AC • 2 contacto inversor.52 • casquillo: 22 mm • incl.7 12 V DC 847 Ω FTR LYCA 024V 1.35 KDR 16H 1. KG. De manera similar las ilustraciones.20 24 V AC 190 Ω KDR 10H 1. Son válidas exclusivamente nuestras condiciones generales (en www.22. 26452 Sande (HRA 200654 Oldenburg) ¡Precios del día! . 25 V~ inversores.80 16 polos 12 V AC 50 Ω orientación horizontal FIN 55.80 MS 500B 1.90 24 V DC 3388 Ω Interruptor basculante-miniatura • de un solo polo.15 JOYSTICK MS FIN 49.85 on · off · (on) FIN 30.85 on · off · on • potencia conmutada máx.34.34.9 12V 4.70 230 V AC 17k Ω Lámpara de repuesto: UV LÖSCHLAMPE 12. Quedan reservados los fallos de impresión.8 12V 5.52. en el catálogo o a petición).75 on · (on) • tensión de corte máx.22.: 125 V CA MS 500C 1. 8 A ¡El multifunción! • un solo polo • dos ejes • mango cónico • ya montados. a partir del almacén de Sande. con relé FIN 40.9 24V 4.reichelt. más gastos de Pago internacional a través de envío para toda la cesta de la compra. CA1: 125 VA 3 A .90 rojo 1.55 5 V DC 147 Ω FTR LYCA 012V 1.45 16 polos Para consumidores: son válidas las normativas de revocación legales.20 10 polos KDR 16 1. 6 A. reichelt elektronik GmbH & Co.4VA con 20V • 4 contacto inversor.30 12 V DC 360 Ω MS 500E 1.85 (on) · off · (on) ( ) = función de la tecla FIN 30.000 productos en almacén +49 (0)4422 955-360 Sin suplemento por cantidad mínima ¡Calidad profesional Envío rápido en 24h a precios de descuento! Tecnología de red y de PC Artículos de taller Dispositivos de Conmutadores Relés programación Tecnología de iluminación Herramientas de desarrollo Tecnología satélite y de TV 20. Conmutador rotativo de • tiempo de apagado aprox. Elektronikring 1.60 FTR LYCA 005V 1.55 24 V DC 1.9 12V 1.9 6V 1. 7 A • para circuitos impresos • tensión de corte máx.250 V AC FIN 30.60 on · on BX 32P BARLINO 134. 15 min. 6 A • pueden controlarse hasta 8 dispositivos • configuración: 1NO1NC • tensión de corte máx.8 230V 4.95 • 10 o 16 posiciones • potencia conmutada máx.44 kΩ para apagar de modo intensivo y homogéneo hasta 5 EPROM. 2 A MS 500A 1.34. conexiones por soldadura/de enchufe Relé de tarjeta DIL 6 A . 4 patines diferentes • 24 VCC • 900 Ω RI • para guías DIN • interfaz USB • potencia conmutada: 250 V/1250 VA • 48 pins universales • procesador interno 200 MIPS ARM-9  Todos los conmutadores en: http://rch.22. 36 V • no se necesita una fuente de alimentación externa • aro iluminado • 1 contacto inversor.Fecha: 02/01/2013 .: 250 VCA Color VLED • potencia conmutada máx.de/agb. Todos los nombres de productos y logos son propiedad del fabricante correspondiente. SuperlabTV creó este sismógrafo como una plataforma tanto para demostración como para aprendizaje sobre sismógrafos para terremoto. que es el motivo de que se inventasen los departamentos de marketing y las agencias de publicidad. es difícil de recordar.Labs es también el frente de batalla donde se realizan todas las acciones.Labs) con la electrónica comienzan.com/9121102688 16 | marzo 2013 | www.Labs es el corazón de Elektor. la inspiración o a ambos. probados y puestos en funcionamiento en nuestros laboratorios. Por el momento su proyecto se llama “Electric guitar pickup seismograph with Arduino cell phone remote control” (“Sismógrafo con pastilla (‘pickup’) de guitarra eléctrica con control remoto por móvil con Arduino”). por otro lado.Labs’.•Labs Últimas Noticias desde el Frente Clemens Valens Elektor.elektor-magazine. en el lado del sensor. SuperlabTV. ¿verdad? ¿Tenéis una sugerencia para un buen titular para este proyecto? www. “reciclaje es el proceso de convertir materiales de desecho o productos inútiles en nuevos materiales o productos de mejor calidad o para mejorar el medio ambiente”. Claro. para dar con un nombre fácil de recordar para su proyecto. servomotores controlados remotamente por teléfono móvil y ‘reciclaje’. microcontroladores con Arduino. Los proyectos e ideas son enviados a la página web. pero sí que es verdad que varias partes para este sismógrafo fueron recuperadas de equipos de desecho: la base del instrumento es un viejo rotor de freno de un coche y. un título tiene el mérito de ser detallado e informativo pero. los circuitos son desarrollados. ¿Os preguntáis para qué es el mando remoto por teléfono móvil de Arduino? Este elemento permite que podamos crear un temblor sismográfico artificial simplemente enviando un mensaje de texto al instrumento para poder ver cómo funciona.elektor-labs. El Elektor. La cantidad exacta que este proyecto recicla depende probablemente del lector.es . es el lugar donde todos los diseños relacionados (Elektor. Los progresos y los resultados son enviados a aquellos que nos los han enviado. Es una pena porque realmente necesita uno. hasta ahora no ha encontrado el tiempo. depurados. Según Wikipedia. esto es lo que ellos siempre me decían. ¿Qué hay en un nombre? Las descripciones y los nombres de los productos tienen que ser cortos para llamar rápidamente la atención del lector o comprador potencial o. al menos. el OP usó una pastilla de guitarra eléctrica de una vieja guitarra. Aunque el ‘posteador’ original (OP) de ‘. Aquí os presentamos algunos titulares del frente. está trabajando en un proyecto interesante. El problema con los nombres concisos es que no pueden comunicar demasiada información sobre el contenido o el producto. son interesantes pero no llamarán mucho la atención. como pruebas de conectividad. Así pues.Labs! El proyecto “Reloj y termómetro Numitron Arduino” (‘Numitron Arduino Clock and Thermometeo”. Si hay bastantes personas interesadas trataremos de conseguir que Courty publique su diseño en la revista. lamentablemente encontramos que el remitente original (‘original poster’ u OP) no responde a nuestros EDITOR’S CHOICE mensajes.com/9120702371 La Elección de Nuestros Editores Nuestros editores han seleccionado un cierto número de proyectos para .LABS. sin tener ninguna experiencia previa con tubos Numitron. los proyectos terminados. Su objetivo son los sistemas donde un PC controla funciones en tiempo real en un sistema embebido. El ‘Networking’ está basado en un protocolo de comando-respuesta gestionado por un pequeño ‘kernel’ en cada microprocesador. de ‘Courty’ es uno de ellos. JmBee usó los mismos tubos de Numitron que Courty. También. elektor labs Sin esquemas eléctricos no hay seguidores ¡La revista Elektor ha tenido sus apartados de relojes y termómetros con pantallas de tubos ‘nixie’. I²C.Using only classical components” (“Reloj de Escritorio de Numitron – Usando sólo componentes”) y en francés: “Horloge du bureau à tubes Numitron et composants classiques”. el OP no envió ningún esquema eléctrico ni ningún código fuente. por favor.elektor-labs.es | marzo 2013 | 17 .com/9120702370 JmBee (French): www. Lamentablemente. Para algunos de estos proyectos. explica desde el principio cómo le fue y cómo logró conseguir que todo ello funcionase al final. al igual que Courty.elektor-labs. si enviáis un proyecto. El OP JmBee envió un proyecto similar. que ahora también han emergido en su sección . os lo pido de nuevo: por favor. La red permite la mezcla de UART. envíanos una contribución o comentario. verificad de manera regular vuestra cuenta de correo electrónico con la que lo habéis enviado a .elektor-magazine. lectura y escritura de variables embebidas y su almacenamiento en una memoria EEPROM. No publicaremos el proyecto si no llegamos a ponernos en contacto con vosotros. Muchas funciones estándar ya están implementadas.LABS que deben ser publicados en un futuro próximo.com/9121202735 www.elektor-labs. Ethernet y otros protocolos de comunicaciones. SPI. por lo que nadie puede reproducir este diseño. Incluso fue más allá y envió su proyecto en inglés: “Numitron desktop clock . control y automatización. La cosa agradable de este proyecto es que el OP. enviad un mensaje si queréis ver este diseño publicado o pedid al OP que envíe sus archivos de diseño.elektor-labs. por favor. Por lo visto. le gustara construir el reloj/termómetro de Courty. que carecen de detalles para que otras personas puedan reproducir el proyecto.com/9120902460 JmBee (English): www. como un lector. funciones de pasarela. por lo que el proyecto tiene un uso limitado. etc. Hacer algo de ruido: Courty: www. Si. pero basado en un PIC16F887 en lugar del ATmega328 usado por Courty. A continuación mostramos una selección de proyectos que hemos pensado que serían interesantes y que nos gustaría publicar en la revista: Framework para Networking con Microcontrolador MCNF es una ‘framework’ para crear aplicaciones de medida. Así pues. JmBee no envió ningún esquema eléctrico ni ningún código fuente. www. para la gente que tiene problemas con la escucha de las altas frecuencias. Hay que se- ñalar que las imágenes grandes están permitidas. Unos días más tarde recibí un correo electrónico de una de esta persona que buscaba este tipo de circuito. envió un proyecto a . esto le pasó al OP Hooligan0. ¡Después de que tres días rompiéndote la cabeza concluyes que el problema es debido a la bobilla de ahorro de energía que te ayuda en el trabajo cuando fuera se hace de noche! ¿Extraño? ¡No!. pero las podéis descargar.com/9121102689 www. Sin embar- go. ¡el sitio web . por favor usad la opción por defecto de 150 DPI. el OP decidió desarrollar una pequeña red de estabilización de impedancia de línea. en alemán.elektor-labs. titulado Echtzeit Stimmhöhen Teiler. ¿qué más podemos hacer con esto? El OP bkelektronik.LABS no podía mostrar esta imagen ya que contenía unos asombrosos 10222 x 6629 píxeles de tamaño! Como el servidor necesitaría unos 250 MB de memoria para mostrar imágenes de tales dimensiones. sino también para su uso como un progra- mador independiente.Labs.elektor-labs. De este modo. cuando exportéis un esquemático de Eagle.elektor-magazine.elektor-labs. si vuestro dibujo es aún demasiado grande. www.elektor-labs. sólo que no serán mostradas.es . de modo que puede visualizar el ruido de la línea de alimentación con un analizador de espectros o un osciloscopio con función FFT. incluyendo una versión PNG del esque- ma eléctrico. Y. www.com Dispositivo que Disminuye el Tono en Tiempo Real (Real-time Pitch Shifter) Algunas personas no creen en las coincidencias pero.com/9121202710 Imagen Muy Grande o Placa de Desarrollo PIC con Programador Incorporado El OP Meerweten está trabajando en una pequeña placa de desarro- llo para microcontroladores PIC. Enfadado por esta enorme pérdida de tiempo. no sólo para programar el PIC en el desarrollo. www. La placa incluirá su propio pro- gramador compatible PICkit2.•Labs Comprobador de Emisiones Conducidas Imagine el siguiente escenario: estás trabajando en un proyecto que funciona sin problemas por la mañana pero que se vuelve inestable por la tarde. Aho- ra. córtelo en varias partes antes de enviarlo. el OP envió sus ficheros de diseño. un dispositivo para disminuir el tono en tiempo real.com/9121002536 18 | marzo 2013 | www. el ta- maño máximo de las ilustraciones ha sido limitado a 2048 x 2048 píxeles. puede ser exactamente lo que andabais necesitando. ¿Es una coincidencia o no? Comprobadlo por vosotros mismos. también conocido como el reputado autor de Elektor Burkhard Kainka. . cuando dichos circuitos Ahora. abrimos la caja para determinar que (Editor de Elektor) ponentes explotados. las cosas quemados… algún voluntario? comienzan a verse “borrosas” (o humeantes).es . rápida en la salida de la fuente pereció eliminar la Comenzamos a medir la resistencia entre varios fuente como origen del problema.8 Ω y 10 W en serie con un MOSFET IRF530. Parecía que la componentes claves y masa y pronto descubrimos tensión de salida era estable y que correspondía que. con lo que no había compo- tiva como la negativa llevaban asociada una ten. No medi- cuando esta fuente se usa para alimentar circui. ¿podría ser que durante el montaje las aran- delas de plástico que aíslan el colector de los tor- nillos y del radiador fuesen olvidadas? Quitamos los tornillos y encontramos una gota de soldadura de tamaño importante pegada entre el transistor y el disipador térmico (por supuesto en el último transistor examinado). (130020) Sustituto de 7805 quemado Mientras preparaba una prueba con su proyecto dificultad para controlar el balastro para su circuito: ‘sustituto de 7805 en conmutación’ (edición de una carga de conmutación usando resistencia de 47 noviembre de 2012) con el propósito de conseguir Ω y 5 W. Con las láminas de aislamiento claramente a la vista. tores de salida estaban conectados fuertemente a masa. Ningún rastro de cobre enne- podría ser el denominador común. Así pues. Pero cuando referenciábamos la tensión a masa ‘Por suerte’ el resto del circuito “flotaba” con algo raro seguía pasando: tanto la salida posi. nentes dañados (¡internamente!). ningún componente quemado. el diseñador Raymond generador de funciones configurado en onda Vermeulen notó una leve irregularidad en el cuadrada o/p. mos ninguna anormalidad y la fuente de alimen- tos que. esta unidad había pasado las Pruebas de Calidad cuando la colocamos a 12 voltios. (‘webinario’) sobre este tema (webcast del 22 La puerta del FET estaba conectada a un de noviembre de 2012). La gota había creado un agujero en la lámina de aislamiento. Al principio no pudi- que una de nuestras fuentes de alimentación mos ver nada mal.•Labs Problemas en fuente de alimentación Thijs Beckers Después de varios prototipos arruinados y com. de fábrica dejaba muchas dudas y temores sobre tiva medía -14V y la salida negativa medía -26V. ¿quién va a reparar aquellos prototipos también están conectados a un PC. de mejor calidad para su seminario por la red que actúa como una carga de conmutación. Una medida grecido. En la mayoría de Con la gota de soldadura eliminada volvimos a las situaciones esto no crea un problema. Raymond creó una que permite que una carga fuese configurada 20 | marzo 2013 | www. como una carga estática. Tomando medidas en varios puntos del circuito con nuestro multímetro. pero montar el componente y lo probamos. lo comportamiento del circuito. los métodos de prueba aplicados. sión negativa con respecto a masa. comenzamos a sospechar iba mal dentro de esta PSU. ambas referenciadas a masa. están referenciados tación funciona ahora como se esperaba. los colectores de los transis- con la que mostraba la pantalla de la fuente. respecto a masa. a masa. la salida posi. según parecía. redujimos el fallo a uno de los transistores que no estaba aislado del radiador. por ejemplo. Sin embargo.elektor-magazine. creando un camino de conducción desde el colector a masa. con ciclo de trabajo del 50 %. a masa. por lo tanto. nada. y una resistencia obtener unas ‘capturas de pantalla de osciloscopio’ de 6. el cual estaba atornillado directa- mente a la caja y. Por ejemplo. de alguna forma. A una frecuencia de unos 18. Para concluir podemos (¡por suerte!) declarar que éste es un diseño sano. aquí está la solución: humo.elektor-labs. observaciones del día a día para estar conmutando constantemente entre 106 mA y 840 mA. por lo que no nos preocupamos realmente en las prestaciones del circuito. “La únic 2A y PDiss > 11W Si Iin = 3. arriba! Sin olvidar el fuego y el para este condensador es hacia lor (excesivo). la única solución res no se toman nada bien al ca- térmico? ¡Como los condensado- puente rectificador y el disipador prácticamente encerrado por el ta del condensador electrolítico mejora. También hay que reseñar la configuración del osciloscopio: la resolución vertical es sólo 50 mV. ¿Os habéis dado cuen- una placa que está pidiendo una laboratorio para prototipos tiene (130021) Esta fuente de alimentación de www. Enlaces en Internet (120702) www.elektor-magazine. donde la tensión de entrada ha sido reducida a un escaso valor de 5.6 KHz (la frecuencia resonante del filtro de salida). con lo que la amplitud máxima del punto mostrado aquí es de.8 V (tensión de alimentación a la derecha) para probar el circuito en sus límites..es | marzo 2013 | 21 . pero se podía ver en la pantalla del osciloscopio un poco de movimiento y zumbido (ver fotografía)..com/120212 Errores garrafales de prototipos ” ución es hacia arriba a sol entonces. aproximadamente. Para aquellos que ten- gáis algún problema con este ‘acertijo’. la salida del sustituto del 7805 era estable. incluso en circunstancias donde muchos otros diseños fallan y hasta posiblemente se estropean. Por supuesto su tensión de salida regulada ‘normal’ no es tan mala como la que podemos ver en la foto. 200 mV. Sólo podréis ver el conjunto al final de la serie de dos o tres artículos que serán publicados y os pedimos disculpas por esta contrariedad. es la ocasión de soñar y de disfrutar.•práctica Medidor LCR con 1ª parte precisión del 0.05%* El lujo de la precisión al alcance de todos Jean-Jacques Aubry La remarcable precisión de este aparato y su asombrosa comodidad de uso son (Francia) el resultado de un cuidadoso estudio. venid a ‘tocar’ las partes más pequeñas del voltio. * ver las características detalladas 22 | marzo 2013 | www.elektor-magazine. Funciona tan bien. Para nuestros lectores apasionados de las medidas. Disculpas Es imposible explicar en una sola entrega todo lo que hay que decir sobre un aparato de tal calibre. Si como a nosotros nos ocurre. que casi olvidaremos las sutilezas de las técnicas de medida usadas en el mismo. los prodigios de las técnicas modernas ponen a nuestro alcance el poder maravillarnos. detrás de su fachada depurada.es . por lo que tenemos que hacernos a la idea de su fragmentación. 4. Tolerancias: 1 % 2 % 5 % 10 % 20 % Parámetro valor L 0. 10 kHz Sin visualizador 5V — 100 mA Consumos Con visualizador retro-iluminado 5V — 180 mA Sofware de PC para Windows. 25 °C ± 2 °C Condiciones* Uso de resistencias del 0. 100kΩ) en el conversor corriente-tensión. Un LED verde señala el fin de cada secuenia.4 Veff ± 5 % Gamas 8. 10kΩ. Es posible hacer la media de varias medidas (1 a 9) en Velocidad de medida detrimento de esta velocidad.10000 Dominio de medida Φ – 90. |Z| 0.05 % (hasta 0.1 pF — 100 mF R.1 nH — 100 H C 0.3 % ±1 del último dígito ** La precisión (±1 unidad de la última cifra a la derecha) es máxima cuando las gamas de post-amplificación U e I son idénticas.1 mW — 1000 MW QoD 0 . 1 kHz. Gamas 3.01 % (100Ω.08 % **) ±1 del último dígito Gamas 1 y 8 < ± 0. 5 y 6 < ± 0. C): Precalentamiento de 10 minutos. 10 kHz Frecuencias de test Tensión de red 60 Hz 120 Hz.00 ° — +90. Linux. L o C) después del ajuste del valor Tipo de Función central. MacOSX Condiciones de test: Tensión de prueba en vacío 0. Precisión del parámetro principal (R. L.es | marzo 2013 | 23 .1 % (hasta 0.1 mW — 1000 MW Ux 0 — 500 mV Ux y Ix Ix 0 — 5 mA ADC U y ADC I 0—5V Tensión de red 50 Hz 100 Hz. automáticas Alrededor de 2 medidas por segundo.00 ° Rs. Diversos: Conexiones de medida 4 hilos Kelvin en los conectores BNC Compensaciones “ABIERTO” o “CERRADO” (OPEN/SHORT) Límite “ABIERTO” |Zs| < 10 W Límite “CERRADO” |Zs| > 100 kW Alimentación 5 VDC ± 5 %.elektor-magazine. 1kΩ. medidor LCR Características técnicas Parámetro dominante: valor Parámetro secundario: valor Circuito equivalente: serie o paralelo (elección manual o automáica) Presentación Q-D (posibilidad de invertir con relación a la opción automática) |Z| Φ o RS + Xs o Vx + Ix o ADCU + ADCI Sobre el parámetro principal de un componente testigo (R.02 % **) ±1 del último dígito Gamas 2 y 7 < ± 0. por el conector USB www. Xs 0. 1 kHz. ¿Tendríamos que electrónico aficionado. debe programable ser tenida en cuenta en las altas frecuencias y. caciones). Para de un medidor LCR se ha convertido en algo cada ponernos todos de acuerdo. como los condensadores ‘chips’. Después. el medidor LCR no está conside. con la pro. que se beneficia de rado como indispensable en el laboratorio de un toda la experiencia acumulada.13 este artículo. elegir entre dos versiones: la que utiliza un orde- liferación de componentes SMD desprovistos de nador como periférico de visualización y de control marcado.ángulo de fase entre la tensión y la tado al anterior. utilizado para MCU (opcional) caracterizar un condensador. Sin embargo.factor de disipación = 1/Q. PC (para mostrar los resultados y el envío de bién proporciona su componente secundaria que comandos). Hay que remontarse más de 15 años en los archi- Conmutador vos de Elektor para encontrar un medidor LCR de Figura 1. con un LCR del 0.14 de mesa. del que descubriréis aquí Las técnicas digitales el resultado. INA128 PGA103 DAC8811 Una ampliación de la x1 to ADC sección rodeada con Buffer puntos de la Figura 1: es 2 4 la cabeza de medida sobre Generador cuya descripción se ha Tensión consagrado lo esencial de 110758 . la primera versión. autónomo. conec- •  Φ  . bien una capacidad C o bien una •  U  na ‘cabeza’ de medida que dialoga con un resistencia R.elektor-magazine. alimentado por tensión de red.•práctica •  Q  .es . propongo una configu- vez más frecuente. 24 | marzo 2013 | www. el uso bien un verdadero medidor LCR autónomo? No. Convertidor UI DUT Corriente HD/HS LS/LD R sense Ri Figura 2. conector de expansión. en los circuitos de precisión. mis inter- cambios con los laboratorios de Elektor han con- Normalmente. o la (con enlace USB). está aún en gestación de después de y analógicas están estrechamente 4 años. con pilas y con una DUT Convertidor relacionadas en los I/U modesta pantalla de 2x16. CAN USB Esta dualidad de nuestros componentes. utilizado para Pantalla caracterizar una inductancia. Recordemos en cualquier caso ración variable y sin concesiones. más generalmente. bien sea una inductancia L. visualizador gráfico de 128x64. que puede definirse de varias maneras: •  U  na ampliación opcional que contiene un visualizador y un mini-teclado y que. en el sentido habitual. asociado a un medidor LCR de utilización cada vez más frecuente de bobinas en reducido tamaño y con una interfaz de medida y.05%.factor de calidad = tan Φ. pero que presenta también un caracteriza su “calidad” (ver la tabla de especifi. Mi proyecto. concebida para que este instrumento no se contenta con dar el alcanzar el más alto nivel de precisión y de confort: “valor” de un componente pasivo. o las fuentes de alimentaciones conmutadas. y teclado •  D  . se transforma en modelo subconjuntos del medidor 110758 . sino que tam. ducido a la versión publicada. Tensión Corriente precisión [1]. lo transforma en un aparato corriente: tan Φ = |Xs| / Rs. bas- Amplificador tante inocente por ser la más ignorada habitual- de ganacia Alimentación mente en los circuitos de baja frecuencia. propongo echar un primer a través del DUT también atraviesa el sensor vistazo al diagrama de bloques que da una buena de corriente Rsense (del que este diseño simplifi- idea del conjunto (ver Figura 1). dichos problemas son bastante complejos y. no comprenderíamos gran cosa de entrada inversora del conversor corriente/tensión los mismos si no nos enfrentamos primero a los (conversor_IU) se mantiene 0 V (masa virtual) problemas que poseen estas medidas. que la for. si se quiere una respuesta rápida. los parámetros serie de base de www. utilizando la representación serie de una N −1 N −1 impedancia Z x = RS + j X S tenemos: 1 2π i 1 2π i Up = N ∑ di × cos N Uq = N ∑ di × sin N i=0 i=0 Vp I p + Vq I q Vq I p − Vp I q Esto sólo necesita un CAD rápido y preciso y un poco de RS = XS = I p2 + I q2 I p2 + I q2 potencia de cálculo.es | marzo 2013 | 25 . la impedancia compleja de nuestro com- Principio y funcionamiento ponente desconocido será obtenida a partir de la En el apartado ‘Un poco de teoría’ proporciona tensión medida en los extremos del DUT y la que algunas precisiones sobre el principio de la aparece en los extremos de Rsense (imagen de la medida. •  U  n programa. La tensión en la ciadamente. El resto de su tra- desconocida del componente a probar (Device yecto depende del programa. midiendo la tensión en sus en primer lugar. Device Under Test) y la corriente que lo atraviesa: La medida final se realiza por un conversor analógico/ UX digital (CAD). Desgra. Así pues. el la señal sufrirá un una amplificación (PGA103). el cual determinará. esto apa- elemento como se hace habitualmente. Under Test o DUT). Veamos aquí su puesta en práctica en corriente que atraviesa el DUT. ya que las tensiones continuas a medir IX están realmente ‘alteradas’ con una tensión residual no Podemos descomponer cada vector en componentes en despreciable. mutador IU a un amplificador diferencial (1NA128). que representan la (detectores de fase) para obtener las componentes en fase tensión en los extremos del componente bajo prueba (DUT o y en cuadratura de la tensión y de la corriente a medir. extremos. así como la corriente que lo atraviesa. podemos pone el nivel bastante alto. cuando es excitado por una señal senoidal de frecuencia variable. para utilizar un amplificador operacional rápido para todo ello sólo utilizó la materia gris y algún otro dicho conversor que sólo debe introducir en el componente difícil de encontrar. medidor LCR Un poco de teoría La impedancia compleja a medir es igual a la relación entre Ciertos medidores LRC recurren a la vía analógica las magnitudes vectoriales U X e I X . aplicadas por el con- la cabeza de medida (ver Figura 2). Como la exigencia de precisión que nos hemos fijado. En su parte analógica. interno a la cabeza de medida. medidor LCR utiliza la técnica clásica del puente una multiplicación (con la ayuda del conversor auto-equilibrado para determinar la impedancia rápido DAC8811) y un filtrado. la frecuencia no sobrepasa los 10 kHz. a menudo del tipo de doble rampa. Antes de examinar el conjunto del esquema com. rece en el esquema completo). puntos en la Figura 1. Es tentador el cado no muestra que su valor ha cambiado con empezar tan pronto a analizar al detalle cada la gama de impedancia. para una ZX = buena precisión. para preservar el equilibrio entre la corriente a ral. fase y en cuadratura con relación a una referencia fija El método todo digital no tiene este inconveniente y la cualquiera: operación matemática de transformada discreta de Fourier Vp + j Vq ZX = (DFT) permite obtener los valores en fase y en cuadratura I p + j Iq de la tensión (Vp Vq) y de la corriente (Ip Iq) a partir de N muestras di de un período de la señal a medir: Así pues. Tranquilizaos. través de Rsense y la que atraviesa el DUT. capaz de gestionar estos dos modos. En gene. sin embargo. man los componentes rodeados por la línea de Antes de ser digitalizada por el microcontrolador.elektor-magazine. trayecto de la señal un mínimo error de fase. Podemos ver sobre la Figura 2 que la corriente pleto del instrumento. Rs y Xs (donde X es (que encontraremos en el esquema eléctrico). 7. R. utilizan •  L  a elección del valor apropiado de Rsense en un amplificador de ganancia programable (PGA función de la impedancia del DUT. La utilización de una misma cadena de La conformación de estas etapas sucesivas es amplificación para la medida de corriente y la determinante. los otros pará.155 . Esto se obtiene con: La mayoría de los medidores LCR. se utiliza la misma cadena para las de las derivas y de las incertidumbres sobre el medidas de tensión y de corriente después del valor global de ganancia. según la •  L  a modificación de la ganancia de la cadena de naturaleza del DUT) y. el descrito en Elektor en 1997 [1].9 kΩ 996 Ω a 10 kΩ 5 10 kΩ 1 1 9.10 Ω a 11 Ω 1 Ω a 10 Ω 3 100 Ω 1 1 11 Ω a 900 Ω 10 Ω a 995 Ω 4 1 kΩ 1 1 900 Ω a 9. gammes de mesure resistencia del Rango de medida Rango de medida (L Gama ganancia U ganancia I conversor_IU (resistencia) o C . función de la ganancia de la Vp + j Vq Gi Rsense Zx = × cadena..9 kΩ 10 kΩ a 100 kΩ 6 100 kΩ 1 1 99. siguen.. tal (CAD) con una tensión máxima. D. una componente inductiva o capacitiva.elektor-magazine. Para liberarnos de los problemas de tensión permite liberarse de una buena parte de deriva. En efecto.66 1 1. Por otro lado. algo difíciles de digerir en una primera lectura… El error de linealidad de un CAD de aproximaciones sucesivas (SAR) es mejor de ± 1 .66 1 1. Sabed que para comprender todo mejor.. medida para atacar el conversor analógico/digi- metros obtenidos por cálculos: Z.9 kΩ a 99.•práctica tableau 1. 7. 10 kΩ y 100 kΩ los valores (ganancia de 1. Φ. el esquema eléctrico sin leer los apartados que Rsense es la resistencia del conversor_IU.50 8.5 . Q.. sin sobre- cargas. como el conversor_IU.155 . por último. la alta precisión de valor de la impedancia se puede escribir como: los amplificadores de ganancia programable uti- lizados y la compensación de los desfases pará- sitos diferenciales. 10 o 100). 26 | marzo 2013 | www. mejor será la precisión de la medida. ¡garantizan una precisión de base prácti.9 kΩ a 1 MΩ 100 kΩ a 1 MΩ 7 100 kΩ 1 10 1 MΩ a 10 MΩ 1 MΩ a 10 MΩ 8 100 kΩ 1 100 > 10 MΩ > 10 MΩ la impedancia desconocida.55 . como por ejem- plo. 75. gain cumulé variable de 1 à 866 en 48 paliers ganancia PGA 1 10 100 ganancia CNA 1 1. 750 866 tableau 2.es . la relación Gi/Gv sólo conservará las partes varia- dos esenciales que siguen y que pueden parecer bles de las ganancias. será obligatorio volver a pasar por los dos aparta.impedencia) 1 100 Ω 100 1 < 0.50 8.50 8.66 ganancia total 1 1. antes de su digitalización. 7.66 10 11.. Los valores en la Figura 1) con una progresión de 10 entre almacenados son: 100 Ω. la grande.50 8. En efecto..155 . I p + j Iq Gv camente igual a la de las resistencias de precisión utilizadas en el conversor de corriente-tensión! donde Gi y Gv son las ganancias de corriente y No me gustaría que ahora os precipítaseis sobre de tensión en la cadena de amplificación.1 Ω <1Ω 2 100 Ω 10 1 0.0 86. 1 kΩ. C.155 . como Es necesario vigilar la ganancia el paso por cero de la señal senoidal a medir es Para obtener las gamas de medida extendidas la región donde el error de digitalización es más (ver más abajo el párrafo ‘Gama de medidas’). V y I son las tensiones medidas por el CAD. O. mientras más fuerte sea la amplitud de amplitud de las señales a medir debe ser ajustada la señal.6 100 115.2 LSB. 7. L. la ganan. etc.15. Para que todo esto se haga en las mejores condiciones. ya variable entre 0 y k (k constante > 1): consiste en que sólo se encuentra sobre el camino de medida aplicar tan sólo una fracción de la señal de salida de la corriente. permite obtener una variante La precisión depende también de la compensa- de ganancia de 0 a 1 (de hecho -1. podemos decir que los ter la precisión del valor de la ganancia global! errores de digitalización estarán prácticamente La utilización de un conversor digital/analógico eliminados. Se buscará un desfases de -90 °. 15. de la ganancia serán elegidas en una relación de Los condensadores adecuados están cableados 16 10 .66. con la búsqueda de un desfasaje nulo. www. es necesario que las ganancias de amplificación para U y para I sean iguales. con sino que. medidor LCR Compensación del desfase del conversor_IU y de la PGA103 El programa mide los condensadores de referencia Los desfases introducidos por el conversor_IU son compensados por el programa. el hecho de actuar sobre la ganancia Si el programa de control de la ganancia está impone una evolución por escalón de relación 10 bien concebido. relación de 1. variable de 1 a 866 en 48 escalones. lo que implica que a 10 KHz la impedancia de esta DUT sea prácticamente igual a Rsense: 159 nF para 100 Ω. sabiendo que la impedancia error relativo de digitalización. el desfases de la PGA103.es | marzo 2013 | 27 .155. se utilizan resistencias de bajo valor (la influencia de la capacidad parásita y/o de la inductancia serie es despreciable). Para ello se utilizará la medida de sobre la resistencia de contra-reacción integrada los condensadores cerámicos multicapa SMD con en el DAC8811. y si el CAD tiene una gran resolu- res intermedios para la ganancia sin comprome. •  L  os desfases introducidos por el conversor_IU. también aquí cableadas lo más corto posible sobre la placa.15515. dieléctrico sin pérdidas (NPO o COG). estos condensadores (DUT) están cableados sobre el circuito impreso pero sólo son puestos en funcionamiento. 8. ¡no sirven para nada! El programa mide resistencias de bajo valor Para compensar. (CDA) multiplicador (DAC8811 en la Figura 1). hasta el punto de introducir un (fuerte) En estas condiciones. Para simplificar. como para el parámetro corriente del DUT. Después. es igual al cociente (a un coeficiente cercano) de Para evitar esto. ya que éstas necesitarían impedancias elevadas con gran cantidad de componentes parásitos). por un puente. y el valor Si se utilizan 16 valores de ganancia para el de la compensación será ajustado para pedir des- multiplicador CDA. Se determina el desfase a compensar midiendo las componentes cuyo comportamiento es conocido.elektor-magazine. Esto es para las ganancias. supongamos el caso de 1. rentes. por lo tanto. durante el procedimiento de compensación. de manera manual. será posible que el CAD digita- de la tensión a medir. permitirá disponer de una ganancia acumulada cisión como el PGA103 de Texas Instruments. ¡y con la Se deben considerar dos elementos: precisión del mismo! Un truco de cableado per- mite de hecho tener una ganancia de este estado. ción (16 bits idealmente). lo permita la resolución de este CDA. para las gamas 1 y 2 (no paran las gamas 7 y 8. por medio del programa.9 nF para 1 kΩ. las medidas de corriente y una separación máxima entre amplitudes de una de tensión hechas por el CAD podrían ser dife. tales como los condensadores SMD con dieléctrico NPO. no tiene mucha importancia. las variaciones elementales fase lo más próximo posible a los -90° teóricos. dos medidas. sobre el circuito impreso o mal colocado sobre cia máxima será de 1. considerados como “perfectos” (a bajas frecuencias). A este nivel. es decir. La ventaja de este método es que la capacidad parásita de las conexiones viene en paralelo con la capacidad propiamente dicha y. no solamente las la escala total. aunque poco ción de los desfases parásitos introducidos por importa la fase aquí). en tantos escalones como la cadena de medida. asociado a un amplificador operacional (Buffer Desfases parásitos en la Figura 1). Sólo son puestas en funcionamiento durante el procedimiento de compensación y ¡no sirve de nada más después! es sencillo utilizar un PGA integrado de gran pre. tanto para el parámetro tensión condiciones de funcionamiento no serían ideales. Si esta tensión tuviese que lice una tensión de amplitud máxima próxima a ser utilizada tal cual por el CAD. sobre todo. Esto el circuito por los puentes J6 a J9. ¡es necesario disponer de valo. 7 44 33 LCD_RES BKL_C VDD 1 2 BKL_A P1. 1 D3 3 U6 C23 0805 3 UI_SEL NC 2 1 OPA354 DBVT TP6 Como la precisión a conseguir C25 NPO J9 BAV199 C31 2 2 150p 5% es de ≤ 0. a partir del valor de Rsense 14 37 L2 11 12 L1 VRGND0 P2.4/AIN2. En ese caso.0 43 42 LCD_A0 LCD_SCL BKL_C LCD_CS 3 5 4 6 LCD_RES P1.2 P2. con ganancia máxima.3/AIN2.6/AIN2.1/AIN2.7 principal (PGA103).4 Gamas de medida DAC_SDI DAC_CLK 24 25 P1. 100n J1 R18 100n 16 –5VA 100n 9 TIMER_4 12 VCC car que el uso de la digitalización R15 R20 10k 0. y a 1 sobre el otro: 100n 100n 100n 100n JTAG R54 gamas 1. C22 NPO J8 R29 100R 4 5 BAV199 1n5 5% ción del valor medio de la señal. este tiempo de C32 100n 3 U4.7 P0. J15 4k7 1 2 mada con una ganancia de 10 ó AV+ AVDD VDD 3 4 TCK TMS 5 6 TDO 100 sobre uno de los caminos TDI 7 8 C46 C44 C45 C49 9 10 +5VA de medida.A 1 –2V5 estabilización sería insalvable. las que serán utiliza- 6V3 8 58 RST R73 CNVSTR1 U9 RST 9 57 TDO +3VD CNVSTR0 TDO das.6 P0. 100R R44 –5VA BAV199 nua.5 y de la ganancia del amplificador 13 35 LED AIN0G P2.3 VBGAP0 16 47 FL_10kHz RESET 100n VBGAP0 C8051F061 P0. 39k C47 C48 C38 C39 PWRN J17 D6 plicador DAC8811) entre 1 y 8. tendríamos que esperar a C21 0805 C28 1 D2 3 2 NC 100n la entrada del CAD la estabiliza.5 61 53 TCK R49 VREF TCK en el circuito por J3 y J2. serán dos C62 R74 AVDD 23 VDD 26 VDD 40 VDD 55 MONEN 63 EXT_REF U10 7 62 EXT_REF C40 C41 C42 C43 resistencias SMD. con 47n 5% 10k 100R 1k 100k 100R NPO C19 R28 C15 NPO C20 R_SEL_A0 0 0 0 0 R_SEL_A1 0 0 0 0 cada cambio de ganancia o cuando 47n 5% 10R J6 5% 150p C16 NPO 100n se produce la conmutación entre 47n 5% R27 –5VA 13 C17 0805 100R 14 las medidas de corriente y ten- NC U4. 2u2 R40 D4 3 +5VA 1 2 Debido al acoplamiento en conti.0/AIN2.0 la medida tensión y la medida R_SEL_A1 GAIN_A0 30 31 P1.2 EXT_REF 15 48 TIMER_4 VREF0 P0.05% 2 Y2 Y 3 4 R23 1R 100k Y3 extremo al otro de la cadena de 10 R_SEL_A0 A 56R 0.05% C13 NPO R25 9 R_SEL_A1 amplificación.05%. * 100n 100n 33p 33p R50 se hace en 16 escalones nombra. 7 y 8 (ver tabla más 1k TP8 abajo).66.000 V.5/AIN2. –5VA 0R dos de 0 a F.7/AIN2. tengo que remar. será necesario compensar la IC4 = TLC2274 100R J21 R36 R37 J22 tensión de offset superpuesta a la +5VA 6 100R 100R M1 7 C33 U4. En ausencia de un R24 15n C14 5% NPO R26 GND EN VEE B 56R 100R 8 6 7 R18 R21 R20 R22 acoplamiento de este tipo.es .05% 14 X0 X1 13 10 U4.1 50 49 RX0 TIMER_2 RST K1 C37 AIN0 P0.4 R46 3 36 L4 L2 13 14 L4 VRGND1 P2.2/AIN2.B señal sinusoidal que se va a medir MGND 4 100n 5 D5 3 HD HS LS LD IC4 para que. puestas en funcionamiento 39k 64 56 TDI DAC1 TDI LM4050-2.5 P0. C35 C12 C10 TIMER_2 piamente dicho.3 41 38 LCD_SI L1 LCD_A0 LCD_SI 7 9 8 10 LCD_SCL LED DUT.6 680R 4 34 UI_SEL R47 AIN1G P2. R43 11 C36 1 2 2R2 J11 J10 J13 J12 el valor medio de la señal este 100n BAV199 –5VA próximo a los 0. con VBGAP1 1 VBGAP1 TMS 52 TMS 100k EXT_REF 2 51 TX0 –5VA VREF1 P0.3 P2.0 búsqueda de un desfase nulo.1 DAC0 P2.•práctica •  L  os desfases diferenciales de la +3VD R48 PGA103.1 corriente de la impedancia del GAIN_A1 32 17 P1.C 8 15 X U3 directa de la señal senoidal por el NC 1k X2 0. cuando ha sido progra. ADC1 ADC0 5 12 AIN1 P0. 4k7 AV+ VREF2 10 60 1u R71 AV+ CANRX 18 59 100n 100n 33u 100n 10k AV+ CANTX R19 ey R16. tanto para la medida SINUS_TRIM de tensión como para la medida +5VA C2 R2 820k INPUT_TRIM 100n de la corriente. AGND AGND AGND AGND DGND DGND DGND 10k R95 R51 R53 XTAL1 XTAL2 R45 10k 4k7 470R 6 11 19 22 20 Y1 21 27 39 54 La amplificación final (CDA multi.elektor-magazine. TP1 OPA725 5 3 DBVT 1 U1 4 R8 Análisis del esquema 2 16k R42 R34 +5VA 100k 820k Antes de abordar el esquema pro.4 P1.2 P2.D J7 12 C18 NPO C24 15n 5% 2u2 AV+ sión. de 1 Ω y 10 Ω. 28 | marzo 2013 | www.6 J16 Se han definido ocho gamas para DAC_CS R_SEL_A0 28 29 P1.05% 11 D1 3 R16 J2 X3 +5VA 1 2 74HCT4052 CAD del microcontrolador impone 10R 1 R17 R21 Y0 –5VA 5 BAV199 NC 100R Y1 un acoplamiento continuo de un R19 J3 R22 0. 2.5 46 45 LCD_CS VDD +5VA P1. Casi todo –5VA R72 R67 se realiza dentro del microcontrolador.elektor-magazine. pero la 62R 10k R76 R75 20k R77 D10 BAT54-A puesta a punto de la parte analógica ha requerido C88 C63 5k 20k 100n 100n R92 430R el mayor de los cuidados BUFFER OFFSET 110758 .es | marzo 2013 | 29 . medidor LCR L1 3 4 C64 +5VA 2 1 1u CTX20-1-R TP12 TP13 –5VA TP14 R80 1 U15 2 5 U16 1 2 U17 5 VIN VOUT VIN SW 20k IN OUT REG102GA-A R78 LT1611 TPS72325 –2V5 5 4 4 3 C73 1n 3 4 EN ADJ 10k SHDN NFB EN NR 5% NPO GND GND C66 GND GND 3 6 R79 R83 2 R81 1 C65 C68 C67 D7 C69 C70 C72 C71 10n 4k3 30k 7k5 100n 470u 4u7 2u2 33u 2u2 10n 6V3 MBR0520 6V3 R96 OUT IN 56R TP15 R82 R97 R84 C90 SW1 1 U18 5 IN OUT 2R2 AVDD 10k NC TLV70030DDC R85 1u 3 EN 2R2 AV+ GND GND C74 2 4 C75 C76 C77 C79 C80 C78 C87 2u2 2u2 470u 4u7 4u7 100n 100n 20 4 17 100n 6V3 VCC VCCIO 3V3OUT 2 1 R86 L2 DTR TXD 3 5 2R2 VDD RTS RXD 6 D9 D8 C81 FB RI 12 U19 SLEEP 13 9 R90 R91 R94 R88 R87 2u2 TXDEN DSR J19 10 680R 680R 56R 56R 10k FT235RL DCD R89 1 19 11 +5V RESET CTS 2R2 +3VD 2 16 23 D– USBDM TXLED C85 C86 3 15 22 D+ USBDP RXLED 4 27 14 GND OSCI PWRN 2u2 100n 28 26 +5VA OSCO TEST L3 GND GND GND AGND C53 USB-B C82 C83 C84 7 18 21 25 4 FB 100n 10n 33p 33p IC20 = TLC2274 IC20 C61 11 * J17 100n SET FOR FIRMWARE UPDATE PWRN AT POWER-ON –5VA TX0 RX0 C3 R11 R62 4u7 NPO C5 1. www.B U20. UI_SEL DAC_CLK 1 1 I 56R CLK 1k8 R69 NC 0805 TP11 5 J4 J5 DAC_SDI 56R 2 SDI DAC8811 IOUT 5 4 1 R64 ADC1 Esquema eléctrico R70 U14 100R J14 DAC_CS 56R 8 CSI CDGK GND R66 3 2 R65 NPO C59 completo del medidor 470R 100k 6 OPA365DBV 5% 1n5 LCR del 0.D 20k 100n 10 12 R7 10k NPO C7 NPO C6 ADC 1kHz 100n 7 4 Vpp adjust 8 SHDN VDD TP2 5% 47n 5% 47n VCC R6 5k U13 TIMER_2 1k8 2 IN U2 OUT 5 7 Y1 1 6 COM R9 Y2 5 TIMER_4 8 MAX7404 6 R13 R98 A 56R CLK ESA OS NPO C58 11 kHz NPO C89 R100 R52 1 74LVC2G53 LPF ADC 10kHz 8k2 1k6 R10 COM 56R C11 Vpp adjust GND INH GND GND 5% 1n5 5% 1n 10k R3 R14 R63 R99 3 2 4 3 6 2 5k FL_10kHz 100n 8k2 5k6 1k6 2k R101 7 1 U20.11 –5VA para no comprometer en nada su precisión.05%.1 kHz NPO C8 LPF 8k2 16k C9 5% 15n 5% 1n VDD R33 R1 VDD R5 R12 R60 R61 100R 9 13 C4 4u7 10R 8k2 5k6 16k 20k R30 R4 8 14 C1 U20.A 20k 5 3 0 1kHz Filter selected NPO C57 C60 NPO C56 FL_10kHz 1 10kHz Filter selected +5VA 1 10 100 5% 4n7 NC 0805 5% 47n GAIN_A0 0 1 0 16 GAIN_A1 0 0 1 VCC GAIN_A1 GAIN_A0 12 +5VA +5VA EXT_REF X0 14 AV+ 13 X X1 11 TP3 C27 R39 R38 C26 A U8 C50 56R 56R 2 R58 Y0 15 100n 100n 1 Y 1k8 Y1 10 3 1 100n B A0 R55 R32 R93 1 7 2 8 TP9 5 74HCT4053 RG A1 +5VA 4 5 Z0 +VS +VS 10k 4 R31 TP10 R57 3 Z U5 6 4 U7 7 1 ADC0 Z1 VO 1k6 VI VO U11 100R 100k 100k 9 C INA128U PGA103U 3 8 –VS –VS RG REF TP5 R56 2 NPO C52 GND EN VEE 2 4 GND 6 C54 C51 NPO C30 5 OPA365DBV 10k 8 6 7 3 5% 1n5 R41 R35 C34 C29 100n 100n TP4 5% 1n5 56R 56R 7 –5VA 100n 100n 4 VDD 3 UI_SEL VREF RFB –5VA –5VA R59 0 U R68 U12 C55 Figura 3.C U20. 30 | marzo 2013 | www. El esquema principal (ver Figura 3) se descom. con tensión. la medida de la tensión sobre Rsense. permite hacer la medida rador senoidal. el gene.•práctica Los amplificadores seguidores U4C y D vienen a Rwire medir. lo común en Rsense y C daba: sobre una rama a la medida de la tensión que va a permitir. mientras que la tensión es medida. con una débil corriente A la finalización de lectura de lo que precede. la ali. R20 a R22).es . elección de un amplificador con una gran banda La selección de la resistencia Rsense se efectúa por de paso. corriente (programable) a la entrada inversora ¡la de la corriente que atraviesa C! de U6 para anular su tensión de salida. colocadas en paralelo y conectadas entre la salida V.15 por las que circula la corriente. algunos de los cuales ya no son dores U4A y B. igual a la tensión en los extremos de la A 4-wire cable resistencia de contra-reacción seleccionada por U3. las sobrecargas. por la segunda mitad de U3. sobre una alta impedancia.elektor-magazine. La gran banda de paso de U6 necesita la presen- cia de una red de estabilización con ganancia en sobre un CI de taladros. Sense y Low Sense) y los amplificadores segui- El principio de la medida Kelvin es el de utilizar dos pone en trozos. DUT Rwire •  y  U4C. HD V R DUT = La tensión diferencial entre las salidas de U4C y D A HS es. hilos distintos para llevar familiares: en el noroeste. por medio de J10 y J13 (las líneas llamadas High Figura 4. R46 del filtro y la masa. dicha tensión de salida es llevada a un la otra con la resistencia en el lado de la masa. hacerlo de una manera simple utilizando solamente las dos entradas La compensación de su desfase (offset) se efec- analógicas del kit (referenciadas a masa)? túa utilizando el DAC0 del µC U9: la tensión de La solución fue utilizar dos ramas Rsense + C en serie. El puente de medida Los dobles diodos D1 a D5. La medida en el punto valor comprendido entre –75 mV y +75 mV. por encima. el puente de medida. un doble cable aislado. Este es el papel de R26 y C19. el microcontrolador. A través del juego de resistencias R47. es decir. Éste último fue cableado a las frecuencias intermedias. tan idénticas salida de DAC0 está programada entre 0 y 2. sobre la otra. abajo a la izquierda del esquema. en el otro extremo de estas resistencias (lo que permite Rwire liberarse de la resistencia Ron de los conmu- tadores de U3). Quería medir un condensador. es primordial. por R42 y R34. inyectar una sobre C y. se de fuga inversa. Todo este pequeño mundo no existe sin que se es un elemento crítico del equipo. Una con el condensador en el lado de la masa y y R45. la precisión del aparato. es LD decir. relación lineal entre el desfase y la frecuencia. de tensión sin ser perturbado por las caídas de mentación y el interfaz con el mundo exterior. la tensión en A los extremos de la resistencia Rsense seleccionada: Rwire •  U  4D para la tensión en el punto común de las V resistencias. al nordeste. La resistencia propia de un único cable falsea día la corriente y medir la al suroeste. con el fin de conservar la una mitad del conmutador analógico integrado U3.5 como fuese posible. y. la el resultado de la medida. cadena de amplificación y. La pinza Kelvin. asegura una protección contra habrá comprendido que el conversor_IU (U6. La precisión presenten ciertas capacidades parásitas que van del valor de R18 y de R20 a R22. había comprado el kit de desarrollo (C8051F060-DK) y obtenido una muestra del MAX7400 (filtro de 8e orden). por último. sobre una alta impedancia. las líneas llamadas High Drive y Low Drive 110758 . R18. La tensión en los extremos del DUT se mide por V DUT medio de un enlace Kelvin de cuatro hilos: el com- LS ponente DUT está conectado entre J11 y J12. tensión creadas por la corriente que circula en los otros hilos (ver Figura 4). Para el conversor_IU. Pero ¿cómo altas frecuencias. El conocimiento de dicho desfase a la frecuencia de utilización máxima (10 kHz) permitirá calcularlo « Con el fin de validar la posibilidad de utilizar el C8051F06x. determina la a introducir un desfase. pues. al sudeste. liberándonos suma para obtener una tensión de desfase de: así de la influencia de las derivas y de los des- VREF fases parásitos. señal cuadrada a la frecuencia deseada. ción (RFB) sobre el divisor R65/R67. El generador senoidal La ganancia del amplificador de precisión U7 El componente verificado (DUT) es excitado por es seleccionable por dos líneas de control: 1. abajo a la izquierda) que permite selec. Con mutador analógico (ver Figura 2. Utilizo tres frecuencias: 100 Hz o 120 Hz con conversor digital/analógico multiplicador. o bien del U4C y una tensión comprendida entre o y VREF (2. ciertas restricciones). 50 kΩ. (dos veces la frecuencia de la red). el proceso de digita- ganancia buscada de 8. un valor flotante a un valor referenciado al cero en la parte inferior derecha del esquema). por lo tanto. La obtención de la tensión de contra-reac.5 V). la se extrae una corriente continua del punto de cadena de amplificación es común. cionar las tensiones de salida. y su amplificador operacional rápido kHz. el amplificador kHz. de las alimentaciones (masa).000 V (ajustable por el potenciómetro R75. También son posibles otras frecuencias (con U14. una tensión diferencial continua El amplificador diferencial U5 permite pasar de de 0. Después. página de la este fin. permite obtener la A/D del microcontrolador.elektor-magazine. aunque ello impone también el + uso de amplificadores de ganancia perfectamente 2 conocida y estable. una señal senoidal ajustable entre 100 Hz y 10 10 ó 100. y. y no direc. Su ganancia viene fijada a 2 por R32//R93.es | marzo 2013 | 31 . Como cada una de las entradas ADCx sólo afecta para la medida de tensión (U). El Timer2 del µC genera una de comandos. Su programación se lización está cuidadosamente sincronizado con realiza en modo serie con la ayuda de 3 líneas la señal senoidal. el amplificador U11 invierte la fase de derecha. 1 kHz y 10 DAC8811. la señal de la salida de U14. bien del U4A y B.66. D para la medida de corriente (I). medidor LCR Puente de medida automática para la medida de la impedancia de los componentes pasivos entre 1 mΩ y 1000 MΩ La cadena de amplificación Para aprovechar mejor sus prestaciones. Después vienen U12. es decir. del µC U9 son utilizados en modo diferencial. Para sacar un mejor partido de los conversores tamente en la salida de U14. los CADs En cabeza de la cadena encontramos el con. que es www. X7R para C30 (filtro entre U5 y U7). El acoplamiento El microcontrolador alterno (C3. El regulador de desacoplo U16 genera una ten- A la salida de U2 disponemos de una señal per. ni extensión mienta FT_Prog en la página web de FTDI [2]). •  2 conversores digitales/analógicos de 12 bits.•práctica Tabla 3. velocidad hasta no linealidad de este dieléctrico introducía unas distorsiones sensibles! 115. +5 V en el terminal 1 (Vbus). de Silicon Labs™. utilizado para las frecuencias ≤ 1 kHz. bien de tono garantizada). Alto Alto de presentación. para colocarlo a 500 mA teclado de la ampliación en el arranque. trolador de 8 bits con arquitectura mixta analó- La compensación del desfase de U1 se realiza uti. gica y digital. el regulador lineal de baja caída de tensión. ¡Sometido a la tensión alterna de la señal. construidos alrededor de U20.75 V. U15. y el otro con una frecuencia Nota: en el esquema eléctrico. Su limitación de aplicada al filtro de capacidades conmutadas U2 corriente de 470 mA permite respetar las espe- (de 8º orden).200 baudios (reloj de 24 MHz). tipo alta potencia.5 V. El regu- es el papel de los dos filtros activos de 4º orden lador U18 proporciona una tensión de +3 V y. Este una inductancia de doble bobinado L1. U17 una de –2. genera una tensión de +4. Su cableado está de fectamente senoidal pero en la que queda elimi. alimentado por el bus) modificando el parámetro Presentación en el ordenador o modo Max Bus Power du USB_Config_Descriptors de Bajo Bajo autónomo si se pulsa una tecla del mini- la EEPROM del FT245R. •  interfaces SMBus y SPI 32 | marzo 2013 | www. Alto Bajo Modo autónomo. tada con R7 y R100. dicho interfaz deberá ser. El conmutador analógico U13 selecciona el filtro utilizado. C52 y C59 (condensadores en las •  5 temporizadores de 16 bits. Dicha tensión proviene. 16 bits ADC0 y ADC1: •  m uestreo hasta 1 Mmuestras/s y acceso Las alimentaciones directo a la memoria (DMA) La alimentación del equipo pasa por J19 (USB. por último. acuerdo con la ficha técnica del LT1611 [3]. es un microcon- componente continua de la señal. bien de un •  linealidad diferencial de ± 0. sión de –4. para las frecuencias > 1 kHz. una señal de reloj (CLK) alimenta un dispositivo USB de alta potencia’. del 2 ciclos de reloj).60 V (típica) cuando su entrada 5 (EN) está a nivel alto. (referenciaos a la documentación de la herra- ERROR! ni ordenador conectado. Es posible indicar la natura- PWRN LCD_RES leza de nuestro periférico (equipo de alta potencia Bajo Alto Presentación en el ordenador.5 LSB (con monó- cable USB conectado a un ordenador. arquitectura mejorada (70 % de mA. •  f recuencia de reloj hasta 25 MHz. Al final. pues. •  linealidad integral en modo diferencial máxima B). El resto está en concordancia: El consumo de nuestro equipo es superior a 100 •  n úcleo 8051. termine por darme cuenta •  1 conversor A/D de 10 bits 200 Kmuestras/s y multiplexador de 8 vías. capaz de proporcionar hasta 500 mA y de garantizar una tensión Vbus estado estado action mínima de 4.es . cuencia de corte de 1.elektor-magazine. las referencias de corte de 11 kHz. uno con una fre. con nar los residuos de la frecuencia de reloj. y volver por el a ± 1 LSB terminal 4. Si está alimentado por el interfaz USB de un las instrucciones se ejecutan tan sólo en 1 ó ordenador. a 100 veces cificaciones de la norma USB para un ‘bus que su frecuencia de corte. entradas ADC0 y ADC1). elimina la El C8051F061. La solución fue el uso de condensadores con dieléctrico NPO (COG). •  4 352 octetos de memoria RAM y 64 Ko de que una de las razones era el uso de condensadores con dieléctrico mémoria Flash reprogramable.60 V (típica).60 V y –4. que se la proporcionará el Timer4. C9) en el CI tampón U1. la •  2 puertos serie (UART). +5 V y –5 V corresponden de hecho a las ten- La amplitud de las señales de 1 y 10 kHz es ajus. Este filtro requiere.60 V.1 kHz. una alimentación USB como la de teléfono táctil (6 VCC máximo en vacío). siones +4. « Al principio observaba una discontinuidad del valor medido cuando se realizaba el cambio de gama (modificación de Rsense o de la ganancia de la PGA103). Lo he elegido por la calidad de sus lizando el DAC1 del µC U9 de una manera similar conversores A/D de aproximaciones sucesivas de a la compensación del desfase de U6. documentaos de flujo. más tarde. en activo y todas las tensiones reguladas son mayo 1997. 32. No os desesperéis si aún quedan peque- paridad y 1 bit de parada (8. lización. Volved a leer. U15 está aún [1] Elektor nº 226. ya que su valor es eliminado del Z80 de Sinclair (‘robado’ a su durante los cálculos.50 V. Nacido en 1943.gl/USPOS de este terminal durante la secuencia de arran- que.1) y sin control ñas zonas de niebla. junio 1997. 1 kHz y 10 kHz. para no perder el ritmo. pasa al estado bajo ftdichip. se generan las frecuencias de prueba de receptores eran de válvulas. en http://cds. de extensión con su visualizador y su teclado que De hecho. del programa interno. Su precisión no tiene incidencia Descubre la informática con el kit en la del equipo. joven hijo) y se hace autodidacta Cuando esta colocado. Jean-Jacques •  interfaz JTAG. etc. AN_124_User_Guide_For_FT_PROG. medidor LCR •  b us CAN 2. Conoceremos también el módulo RCAPn es el valor a cargar en el registro del Timer n. Mientras tanto. obtenido en 1968. Aubry.es | marzo 2013 | 33 .linear. se encuentra con C y. no conseguía obtener las buenas frecuencias de los temporizadores (timers). entra en La tensión de referencia de los conversores A/D una pequeña empresa de electrónica y D/A es generada por U10 (a la derecha del µC) y permanece allí durante 36 años. se apasiona por la Del reloj de 24 MHz. Esta configurado para sión. su diploma de ingeniero electrónico radio. seducido prontamente por la diosa Radio. ¡hay que hacer el cálculo con 65536 y no con 65535! lo hará un equipo autónomo. p. indica la presencia del módulo de pdf extensión Afficheur-Clavier (Visualizador-Teclaso).200 baudios. generado por un cristal de escucha de los radioaficionados: sus cuarzo. su estado bajo. ecuación 24. Los frutos de la pasión •  n umerosas fuentes de interrupción. el circuito hace pasar su terminal SLEEP/ a su Enlaces y referencias: estado alto. 12 | nº 228. así como el estado del terminal 6 (LCD_RES/) [3] Ficha técnica del LT1611 del conector de extensión J16. el grama para PC (Windows.elektor. [4] www. con C++ y Al encenderse al fin de cada medida válida. la transferencia de datos.es/110758 Estos dos estados arrojan cuatro posibilidades: Conclusión provisional Aquí se acaba este primer recorrido por el medi- « En la realización de mis primeras pruebas.1) entrega hablaremos de la precisión de las medidas FTCLK Fsq = y de los factores de error o imprecisión (ganancia. incondicional. En 1990 pasa a Mac. En la próxima cuadrada (pag.elektor-magazine. diseño de un medidor LCR de buenas prestaciones. Si SW1 está abierto. Con 100 Hz.0 •  2 4 entradas/salidas de uso general. 8 bits. el cual FT245R (U19) de FTDI. abril 1997. descubre Qt con una radio digital (SDR). así como su pro- www. y vale 2. Encontramos estos tres artículos en el DVD Si el equipo está conectado al ordenador por un de Elektor de 90-99 www.05%.com/docs/Datasheet/1611f.fr/dvd90-99 cable USB. Los LEDs D8 y D9 se encienden durante y esas nieblas no tardarán en desaparecer. p. 12 | nº 227. después trabaja en programa de arranque de una petición de actua. el puente J17 informa al programando una gestión de stock en Turbo Pascal. (110758) Entre 25 ms y 200 ms después del encendido. sin reflexión.elektor-magazine. p. 120 Hz. tenéis suficiente materia de una velocidad de 115. el terminal PWRN/. Este terminal. En la documentación del C8051F06x está escrito que para el modo de salida dor LCR con precisión del 0. Jean-Jacques se lanza en el correctamente. 2 ⋅ ( 65535 − RCAPn ) calibración. 298. El interfaz USB Es un conversor USB-UART bien conocido. Mac o Linux). el LED D6 indica que todos se ha realizado Una vez jubilado. que está en su [2] Guía de usuario del FT245R de FTDI www. fase). al que se le ha confiado permitirá sacar el mayor beneficio de su preci- la comunicación con el PC.N. estado alto tras el encendido. generadas al resto de la electrónica. Visual Basic haciendo los programas para diversos bancos de prueba.com/Support/Documents/AppNotes/ al cabo de unos 300 ms.pdf [ou] El programa interno del µC comprueba el estado http://goo. .1/SDA 7 7 8 INT0 30 8x 22k P3.6/A14 P1.4/AD4 C22 38 DOUT2 200k 300k 100k P0. Descripción de circuito – placa principal ducción general al proyecto.2/AD2 R29 R27 R28 +VIN ENCA 35 P3. ha llegado el mo.C 8 R06 PLCC-52 SKT RST 3 D+ 23 18 FREQ2 6 U8.2) 2k2 1N5817 BC547 componentes de la placa principal. Una excep- ción notable es la circuitería del generador de Michael J.es .4/T0 P0.0/A8 P0.4/A12 P1.5/T1/LED1 P0.5) 1W 2 D– R05 22 USB D– 46 +5V 11 10 NC 28 21 9 10k PSEN PLLF 5 4 6 27R R03 R01 R30 12 BC557 VSS AVSS XTAL2 XTAL1 UVSS R33 GND 41 19 12 13 25 Y1 330R 2k2 100R D7 Q6 D6 PWM1 4k7 TP4 (CEX1) 1N4148 R34 24MHz Figura 3.6/AD6 100n C26 C27 SSEXT1 2 36 DOUT4 8 4 +5V P2.3/CEX0/KIN3 TR VBUS DOUTA 15 51 PWM1 GND CV SIL-2 P2.2/ECI/KIN2 TLC7555 ALMOUT 14 50 PWM0 (P2.3/A11 P1.•Proyectos El Reloj Despertador / Parte 2 Conmutador Temporizado “7-up” Tras las consideraciones de diseño y la intro.1/AD1 33 44 CMPIN2 RESET P3.3) THR 330R 25V P8 FREQ0 9 P2.A 1 R35 P2.7/AD7 FREQ0 13 SSEXT2 3 6 VCC R 100n 220u P2.6/WR/LED2 U1 42 CMPIN3 +5V P0.0/T2/KIN0 47 PWRON U7 D1 U8. 34 | marzo 2013 | www. El esquema eléctrico de la placa principal mos- mento de hablar de la electrónica del reloj con trado en la Figura 3 da la impresión de un con- mayor detalle. S2 C09 C10 C07 C06 C08 Q4 BD MUTE 139 Esquema eléctrico de los ISP 22p 22p 10n 2n2 1u (P1.1/A9 P0.4/CEX1/KIN4 3 SPEAKER 4 MISO 1 5 +5V P1.D 2k2 Q5 10R USB D+ UCAP R31 22R (P2.1/T2EX/KIN1/SS DIS OUT FREQ2 11 49 MUTE FREQ1 5 2 P2.3/AD3 ENCB 39 40 DOUT1 P3.B 4 2 FREQ1 10 48 VBUSD 7 3 1 P2. trolador industrial muy simple.elektor-magazine.0/RXD P4.7/A15 P1.5/AD5 SSDISP 1 37 DOUT3 U8.2/A10 (P2. con conexiones de interfaz de E/S externas mínimas.0/SCL U4 U8 U5 RESET TXD 29 8 SDA DOUT4 9 4 100n P3.4V5 500mA 4 5 4 TP3 (3x AA) +VBATT J1 2 EN FB +5V D2 L1 +5V +VEX PWRON SIL-4 1 7 U2 8 VIN OUT LM2594N-ADJ 100uH Q1 +5V 1N5817 R10 D5 NC NC NC 3 GND 9V DC 1 2 3 6 D3 10k 4V7 C01 C02 C03 C13 +VEX R11 BC547 R08 C12 220u 100n 220u 100n RS422/485 25V 1N5817 16V 10k 10n SERIAL BUS 4k7 8 J3 1 TP2 1 VCC 6 GND 0V R A 2 2 R09 RE U3 3 SN75176 4 +5V +5V DE/RE 3 DE 5 100R 4 7 D B 6 RA1 GND CMPIN0 2 1 R02 R16 R17 5 MOD-6P4C +5V H1 C04 CMPIN1 3 TP5 +5V +VEX ALE CMPIN2 4 +5V 100n CMPIN3 5 P5 "USB STATUS" 10k 10k 10k R07 DOUT1 6 1 C11 26 16 17 27 DOUT2 7 TXD 2 EA VDD AVDD ALE C16 14 14 9 100n RXD 20 7 SCL DOUT3 8 RXD 3 10k P3.5/A13 P1.5/CEX2/KIN5/MISO C25 C24 C23 24 5 SCK VREF P1. Bauer (Australia) PWRON VBUS +5V VBUSD +VEX +VIN Q2 S3 R15 R12 ON/OFF D4 P6 R14 3k0 BT1 F1 C05 47k C14 1 R13 BC547 1N5817 TP1 2 4n7 100n (+VIN) 1k0 10k 3 3V6.3/INT1/LED0 P0.7/CEX4/KIN7/MOSI VBUS J2 1k5 34 R32 R36 NC AT89C5131A-S3SUM USB-B 1 43 RESET U8.4) 2 1N5817 P2.6/CEX3/KIN6/SCK R04 XTAL2 6 MOSI 6n8 6n8 100n P1.7/RD/LED3 P0..0/AD0 SERIAL INTERFACE CANCEL 32 45 CMPIN1 (INTERNAL) P3.1/TXD P4.2/INT0 S1 DE/RE 31 52 CMPIN0 SIL-4 P3. 5) 6 14 2 U4.6) 4 5 ENCODER SWITCH SCK (P1.D C20 10 R19 100n 47k tor (U3) y el conector del puerto del bus (J3) 10k 100149 . EXPANSION BOARD +VIN DOUTA (P2.IN1) son llevadas a un transceptor RS422/485 (U3 = CMPIN3 (P0.2) U6. que no proporcionan la capacidad de P3 P2 ENCA 1 P1 +5V control de salida suficiente en la mayoría de las 1 ENCB 2 3 1 2 aplicaciones. reloj despertador / conmutador temporizado Descripción de la placa principal.1) 7 9 8 (P1. 2 XTAL2 3 4 RESET PWM0 (CEX0) 3 SIL-3 PWM1 (P1.4) FREQ1 14 (P3.4) 5 6 (P1.A U5 = ULN2003 DIL-20 muchos módulos periféricos suplementarios. La versión inicial del fir- maware (programa interno de funcionamiento) 11 22k R22 (GP.1) SDA DISPLAY BOARD SIL-8 SIL-2 SNOOZE BUTTON 19 20 MCU trabaja a 48MHz! El dispositivo incorpora U4 = 74HC04 U4. y TWI (IIC).2) INT0 SCL (P4.6) 1 8 1 13 5 preprogramado en este ‘bloque de arranque’. +VIN Las señales RX y TX de la UART son llevadas al 7 CMPIN0 1 U6.4) 4 15 TIME-SWITCH U4.5) 13 12 (P2.B U5.D U5.A C17 1N5817 4 4 5 nivel bajo (usando el botón ISP).OUT2) J4 un cargador de arranque (‘bootloader’).0) 17 18 (P4.elektor-magazine.OUT3) 4 4 1 6 (P0. U4. por lo que el transcep- CMPIN2 13 (1V6) 47k (P0.5) (P1. ALMOUT 13 6 (P2. por ejemplo.7) 1 1 2 1 1 16 AUXOUT USB.3) 11 FREQ2 (P2.C ACCESSORY flash de programa independiente (4 KB) para (TSW.C U5.IN2) del reloj no incluye ninguna prestación que use R23 el bus serie RS422/485.6) 1 12 1 11 4k7 22k es decir. p. por ejemplo. el 89c5131 tiene muchos otras MISO SCK (P1. PCA (usado para salidas U4. incluyendo un núcleo P4 MOSI (P1.11 pueden ser omitidos hasta el momento en que sean necesarios.0) RXD de procesador muy rápido.E U5.B C18 conector de extensión (P1). El At89c5131 dispone de un bloque de memoria DOUT1 3 2 (TSW. es ya ha sido explicada en la introducción técnica de ‘drenador abierto’ y. Las señales de la UART también 9 R20 (GP.7) SSDISP (P2.OUT1) 1 1 (P0.B +VEX PWM). El At89c5131 es un derivado de la resistencias externas de ‘pull-up’ en los termi- nales que funcionen como salidas.3) 14 U6.2) SSEXT2 MOSI prestaciones a su favor.es | marzo 2013 | 35 .0) 6 7 CANCEL 1 FREQ0 (P2. SPI.OUT4) (P0..0) 6 3 C15 10u 16V U6 tor de 4 terminales (P5).D 3 2 5 3 7 positivo se suministra con un ‘bootloader’ USB DOUT3 9 4 (TSW.7) 1 10 1 12 rio pueda ser cargado en el área de programa U4. para el caso en que el R26 100n usuario quiera conectar un adaptador de inter- PWM1 12 100k (CEX1) C21 U6 = LM339 10n faz serie RS232. por lo tanto. Con un cristal de 24 MHz y el modo de ‘clocking X2’. El puerto 0. se ejecutará (P0.5) MISO Sin embargo.F R24 R25 flash principal (32 KB) a través del puerto USB.1) el programa bootloader en lugar del código de 4 5 3 6 2 1 100n 6 SENSOR 2 DIN6 la aplicación de usuario. Otros puertos tienen pequeñas resistencias de ‘pull-up’ internas (~25 k Ω).7) 10 (P2.C 8 +5V C19 47k R21 SN75176) para soportar accesorios externos a R18 100n 47k través de un bus serie. requiere (más arriba).A U5. la placa del display y el montaje audio. tiene muchas esperar en un controlador programable de uso características del núcleo del 8051.6) SSEXT1 (P2. las configuraciones del puerto de E/S inexplica- La elección del circuito integrado microcontrolador blemente extrañas. por lo tanto. incluyendo general.F U5. que es más compleja de lo que se podría familia 8051 de Intel y.1) 15 16 (P3. ¡el núcleo del 2 SENSOR 8 TXD (P3.ej.E 7 DIN7 permitiendo que el código de programa de usua- DOUT4 11 5 (TSW. sin requerir ningún hardware de pro- +VBATT Q3 D8 ALARM ACCESSORY gramación flash adicional. www. Si el procesador es J5 1 reiniciado mientras el terminal PSEN# está a 5 BD140 3 CMPIN1 2 U6. Temporizador T2. El dis- DOUT2 5 3 6 1 1 1 (P0. así como al conec- (P0. el transis.•Proyectos +5V C3 100n S1 S2 S3 S4 16 VCC 15 ASEG0 QA 10 1 ASEG1 TIMER ALARM ON/OFF TIME. las mutado de 5V (U2 = LM2594). ya que pasará a ‘flotar’ a nivel alto. tor Q1 se desactivará y la señal lógica PWRON# pasará a nivel alto debido a la resistencia de Entradas y salidas lógicas de ‘pull-up’ en el MCU. bien a través de la línea de ali.12 Figura 4. Éstas señales están pensadas para lador de tensión de 5 V también se viene abajo. de accesorios.B "FR" "ON" "S1" 4 SIL-8 Q1 +5V EN P2 H04 H12 H20 AMBIENT 12 11 5 6 ASEG3 "TH" ASEG3 "OFF" ASEG3 "A4" 14 C2 LIGHT 1 S9 U2 EN R3 SENSOR A ROTARY H03 H11 H19 100n 13 2 ASEG2 ASEG2 ASEG2 7 ENCODER "WE" "PM" "A3" BPW85C 3 B SWITCH R1 ASEG1 H02 ASEG1 H10 ASEG1 H18 3k3 "TU" "24h" "A2" U2 = 74HC125 SIL-3 ASEG0 H01 ASEG0 H09 ASEG0 H17 "MO" "sec" "A1" 100k 100149 . La señal PWRON# también es llevada salidas temporizadas son señales de control que al terminal EN# (habilitar) del CI regulador con. controlar optoacopladores (entradas aisladas) en permitiendo que la línea de alimentación de +5 una placa de alimentación AC de estado sólido. Si se retira la cuales pueden absorber hasta un total de 50 mA tensión de alimentación externa de 9 V. proporcionar hasta 500mA (limitada por el fusi- La línea ‘Vbus’ del bus USB es detectada por el cir. se activan a nivel bajo (absorben corriente). está mentación del bus USB o bien a través de la ali. activada por la alarma. cada una. el regu. El firmware monitoriza estas accesorios señales y activa un indicador (PF) si la tensión de En los conectores de accesorios J4 y J5 se han alimentación cae por debajo de un valor mínimo proporcionado seis salidas lógicas. RST QB CLOCK CHECK SWITCH 14 2 ASEG2 SDI QC U3 3 ASEG3 +5V QD S5 S6 S7 S8 4 ASEG4 11 QE SCLK 5 ASEG5 12 QF LCLK 6 ASEG6 C1 74HC595 QG MENU SET (–) (+) 7 ASEG7 QH EXIT OK << >> 13 100n OE 9 16 15 3 2 1 18 17 4 11 10 8 6 5 12 7 9 16 15 3 2 1 18 17 4 11 10 8 6 5 12 7 9 16 SQH E1 E2 E1 E2 G1 DP1 G2 DP2 G1 DP1 G2 DP2 F1 F2 F1 F2 A1 B1 C1 D1 A2 B2 C2 D2 A1 B1 C1 D1 A2 B2 C2 D2 VCC GND RA1 8 2 SW8 11 1 HD1 HD2 A SH/LD 3 SW7 12 2 B U1 CLK 4 SW6 13 HDSP-523E HDSP-523E C +5V 5 SW5 14 D QH 9 1 6 SW4 3 7 E QH CC1 CC2 CC1 CC2 7 SW3 4 74HC165 +5V F 14 13 14 13 8 SW2 5 10 G SER C4 9 SW1 6 15 H CLK INH 8x 22k 100n GND 9 16 8 COM VCC 15 1 16 QA I1 O1 U2. La salida DC de 9V. V se alimente. El firmware lee esta los terminales del puerto no pueden proporcionar señal y adaptan su modo de funcionamiento de suficiente corriente para controlar las entradas del acuerdo a ello. El estado de la señal USB Vbus CI controlador de periféricos (U5 = ULN2003A). ble rearmable F1). Los terminales del puerto de cuito del transistor Q2. Q2 se desactivará y la señal VBUSD# a través de un sexto inversor CMOS (U4).D U2.es . 36 | marzo 2013 | www. también puede ser usado por el firmware para Esquema eléctrico de la sión DC de alimentación externa (+VEX). Si dicha controlar una (o más) de las salidas de control placa del visualizador.A 10 1 2 15 +5V +5V RST QB I2 O2 TP1 2 3 SCK 14 2 3 14 SDI QC I3 O3 U4 3 4 U5 13 EN R2 QD I4 O4 U2. activa a nivel alto (genera corriente) y puede mentación de la batería.C 4 5 12 1 QE I5 O5 11 P1 8 9 SCLK 5 6 11 12 QF I6 O6 1 3k3 EN LCLK 6 7 ULN2003 10 74HC595 QG I7 O7 2 +5V 10 7 QH GND 3 PWM0 (CEX0) PWM0 13 ASEG7 H08 ASEG7 H16 ASEG7 H24 OE 8 "PF" colon "S4" 1 MAIN BOARD 4 MISO 9 SQH To / From 5 SCK GND ASEG6 H07 ASEG6 H15 ASEG6 H23 "SU" colon "S3" 6 MOSI MOSI 8 2 7 SSDISP SSDISP ASEG5 H06 ASEG5 H14 ASEG5 H22 "SA" "AL" "S2" 8 SENSOR +5V +5V H05 H13 H21 ASEG4 ASEG4 ASEG4 U2.elektor-magazine. Las cuatro requerido. El circuito está equipado para monitorizar la ten. tensión cae por debajo de unos 6 V. Si la tensión USB no está salida correspondientes del MCU están protegidos presente. de amplitud para los sonidos de alarma. Cambiando la tensión de referencia en incrementar la corriente de control base reque- intervalos periódicos.es | marzo 2013 | 37 . bajo de la señal PWM. se necesitan unos milisegundos para que cación. Los pará- cas del MCU. Cuando el generador de audio es “silen- la tensión de referencia se estabilice después ciado” (por el firmware que coloca la señal MUTE de un cambio en el ciclo de trabajo de la señal a nivel alto). por la rutina del controlador del ‘sinteti- rizador de audio está controlada por el cambio zador de sonido’ del firmware. para proporcio. de un amplificador de potencia en clase-D no sólo rencia generada por la señal PWM. indepen- es un problema. metros configurables por el usuario determinan den seleccionar ocho frecuencias diferentes en el ataque (pendiente de subida). PWM generada por el MCU (CEX1) y llevada a la entrada de control del interruptor análogo (U8D). el transistor de salida es bastante lento comparado con un CAD físico. el 1 % es lo mejor podemos audio más alto que el de un amplificador lineal. gundos. Entradas analógicas el cual ‘sincroniza’ la señal de audio de onda El AT89c5131 MCU no está equipado con entra.) El ciclo de trabajo del pulso ancho de pulso (PWM) del MCU. Q6 está desactivado. la velocidad no ninguna corriente que llegue al altavoz. Por consiguiente.ej. PWM es variable en un rango de 256:1. El interruptor analógico minar las tensiones de entrada. dientemente de la cantidad de ruido presente en la línea de alimentación (+VIN). esperar. Debido a la constante de tiempo del sino que tiene otra ventaja mayor en esta apli- filtro. altavoz actúa como filtro para eliminar la señal que hace uso de una salida de modulación de PWM de 47 kHz. se pue. sería realmente mejor.). el firmware puede deter. La utilización la linealidad y el ruido) de la tensión de refe. La amplitud de audio es controlada por una señal sitorios externos peligrosos (p. ESD). cuadrada. por lo tanto. aproximadamente. rida para activar a Q6. el tiempo de conversión conectado y. La conversión analógico-a-digital se y también fuera de rango del altavoz. FREQ2. El ciclo de trabajo de la señal PWM das análogas (ADC). El transistor Q5 es necesario para y U6B.6 V. bastante alejada del rango del oído humano de reserva. determina el nivel de salida de audio efectivo. Este paso bajo (R26. puerto de entrada del MCU de procesos de tran. La señal de audio permanece como una onda cua- La tensión de referencia PWM es llevada a las drada (sincronizada a 47 kHz). por lo que no puede haber Por suerte. reloj despertador / conmutador temporizado Se han implementado dos entradas lógicas para un conmutador análogo cuádruple CMOS (U8 = los comparadores U6C y U6D. Esta es una Generador de audio y amplificador de consideración muy importante para una aplica- potencia ción que puede estar colocada a menos de 1 m Un oscilador de onda cuadrada está realizado de nuestros oídos mientras intentamos dormir. Se usa (tiempo). hasta que llega entradas (−) de los dos comparadores U6A y al transistor Q6 que controla el altavoz. ha establecido a +1. Un filtro un registro de relación de 8 bits en el MCU. C21) elimina la componente AC es un rango dinámico adecuado para la aplicación. El nivel de audio es actualizado. El controlador del de la resistencia y la capacidad de la red de firmware es capaz de sintetizar una ‘envolvente’ temporización RC. usando nar una tensión de referencia variable. de modo que pero una resolución de 10 bits (o más elevada) el nivel de DC es controlado por el ciclo de tra. cada dos milise- La frecuencia de la señal de salida del tempo. el interruptor PWM (U8D) está des- PWM.elektor-magazine. Las frecuencias elegidas están relacio- por las redes RC para proteger los terminales del nadas armónicamente. mantenimiento el rango de 500 Hz a 2 kHz (aprox. A través de las señales lógi. Las tensiones de entrada analógicas a ser va al amplificador de potencia que está diseñado medidas son llevadas a las entradas (+) de U6A en clase-D. sita dos entradas análogas para supervisar el La frecuencia PWM es de. soltado (pendiente de bajada) y man- www. 47 sensor de luz ambiente y la tensión de batería kHz. Un error de. La exactitud de PWM (U8D) no es capaz de aceptar el paso de la conversión está limitada por la resolución (y corrientes superiores a unos 2 mA. (47 kHz) del tren de pulsos PWM. con un CI temporizador CMOS (U7 = TLC555). Las entradas externas R29) y el condensador (C25) dentro o fuera del están protegidas con un ‘buffer’ y son filtradas circuito. proporciona un nivel de potencia de salida de aproximadamente. El umbral lógico se CD4066B) para cambiar las resistencias (R27. De aquí U6B. FREQ1 y FREQ0. (El propio realiza a través de un algoritmo en el firmware. La aplicación del reloj nece. en esta aplicación. •Proyectos En el resumen.es . Mirando el esquema eléctrico de la panta- Vista superior de la placa del entero múltiplo de dos milisegundos (es decir. ya que es una solución econó- mica que da la legibilidad adecuada y porque la tecnología se presta a la posibilidad de una ilu- minación variable. principal completada. El diseño confía en la resistencia fuente de las sali- das CMOS (aprox. Un panel LCD gráfico. Vista superior de la placa sintetizadores de sonido analógicos de los años 70 con lentes difusas y redondas de 5 mm. 8 … milisegundos). hasta 500 milisegundos. de manera similar a los hechos con 24 LEDs discretos de varios colores. lla. proporcionan un amplio rango de efectos de sonido atractivos (¡y algunos no tan atractivos!). restados de la tensión de alimentación de 5 V. pero el coste adicional se con- sideró injustificado y la continuidad en el sumi- nistro de paneles LCD puede ser un problema. que no configura de forma independiente. los lectores astutos se habrán dado cuenta visualizador completada. verde o amarillo. La Figura 4 muestra que la pantalla numérica de 4 dígitos está hecha usando dos dispositivos de 2 dígitos (Avago HDSP-523X). común de 7 x 8. Debemos tener presente que los LEDs están mul- tiplexados en 8:1. 60 Ω) para limitar la corriente en los LEDs. con retroiluminación. nos deja 2 V en la resisten- cia equivalente (60 Ω) de las salidas CMOS. un sencillo circuito generador de audio económico. de que no hay ninguna resistencia limitadora de corriente en serie con las líneas de ánodo. cuales puede ser configurado a cualquier número 8 bits. 4. junto con una rutina inteligente del controlador del firmware. y atenuados usando una señal PWM. Los cátodos están controlados ciones de un sonido de alarma. Descripción del circuito – Placa del visualizador El visualizador usa una pantalla LED de 4 dígitos de 7 segmentos. blanco o multicolor. 2 V. el período de las das por un registro de desplazamiento CMOS de Figura 6. Otros fabri- cantes de componentes optoelectrónicos tienen dispositivos compatibles a nivel de distribución de terminales. disponibles en tres colores: rojo. que se féricos de 7 elementos (U5 = ULN2003A). tenimiento bajo (tiempo). con lo que la corriente máxima permitida 38 | marzo 2013 | www. 6. queda limitada a unos 33 mA (= 2 V/60 Ω). Los indicadores luminosos están Figura 5. modular la amplitud de audio y/o la frecuencia con Las líneas de ánodo (segmento) están controla- señales de modulación (virtuales). La corriente máxima del LED. El El visualizador de 7 segmentos y el indicador LED tiempo de mantenimiento a nivel bajo determina están multiplexados en una matriz de cátodo el intervalo de silencio entre sucesivas reproduc. (Este intervalo (activos a nivel bajo) por un CI controlador de peri- no es el mismo que el ‘intervalo snooze’. Las salidas del ULN2003 tienen una tensión mínima a nivel bajo cercana a 1 V (debido a la configuración Darlington). el firmware proporciona un medio de ton con entradas lógicas compatibles TTL/CMOS. Esto suma 3 V que. La caída de tensión directa de los LED es de. aproximadamente. (de los que el autor tiene una tierna nostalgia).elektor-magazine. 2.) es más que una serie de siete transistores Darling- Además. por lo tanto. podría haber sido más estético. la iluminación. el segundo byte de los datos recibidos es ignorado por el firmware. sin tener en cuenta si la coloca verticalmente en la que los ‘buffers’ U2A y U2B están cableados para señal SPI de ‘selección de esclavo’ está activa o caja Pactec CM6-225 funcionar como inversores. los bits del ‘latch’ de salida tri-estado (U2 = 74HC125). reloj despertador / conmutador temporizado está cerca del rango máximo de los LEDs. Como el registro de entrada del botón sólo tiene un byte de ancho. Figura 7. su flanco zamiento son (casi) compatibles directamente ascendente provoca que los 8 bits del registro con el bus ‘SPI’ (Interfaz de Periféricos Serie) de desplazamiento interno sean transferidos al del MCU. Los registros de despla. de entrada de botón (U1 = 74HC165). la señal SSDISP# parte trasera del Reloj Despertador “7-uP”. que va a los registros de la pantalla. el dato de entrada se mantiene constante La pantalla LED requiere dos puertos de salida mientras está siendo enviado a la salida.es | marzo 2013 | 39 . de reloj del ‘latch’ (LCLK) está activa. RESET LED USB RS422 ALARM TIME-SWITCH ISP ‘Output Enable’ (OE#) del registro controlador INPUT ON/OFF ACCESSORY ACCESSORY de cátodo del LED (U4). SH/LD# pasa a nivel Su caída de tensión en directa es demasiado alto. Los registros de la pantalla se escriben y el registro del botón se lee en el mismo ciclo de transferencia de datos del bus SPI de 2 bytes. puestos internamente de un SR ‘serial-in/parallel- sión de entrada-salida del MCU está realizado con out’ de 8 bits con un ‘latch’ tipo D de 8 bits. Los bits del registro de de la pantalla (U3. Pero con un poquito de ‘pegamento’ ‘latch’ de salida. El rango del ciclo de tra- bajo PWM y. con la ayuda de resis- tencias de ‘pull-down’ en sus salidas (tri-estado). de conectores en la A propósito. es colocada a nivel bajo. LD#. implementado por un ‘buffer’ cuádruple nece a nivel alto. La inversión de la polaridad de la señal de reloj SPI (SCK). la pantalla es aún suficientemente brillante para cionado (SSDISP# a nivel alto). de 8 bits y los botones pulsadores necesitan un Los registros de salida (74HC595) están com- puerto de entrada de 8 bits. La iluminación variable se consigue aplicando una forma de onda (PWM) de ciclo de trabajo variable de 47 kHz a la entrada 9V DC BATT. con lo que el ‘latch’ de entrada queda des- alta (típicamente. es de 256:1. con terminales de salida están controlados por los ‘latches’ de datos paralelos y lógica de transfe. Los registros de la pantalla y del botón comparten una señal SPI común: ‘slave-select’ (SSDISP#) (‘selección de esclavo’). no sea intentado montar LEDs azu. ejecuta cualquier ciclo de transferencia SPI (de La placa del visualizador se tan fácilmente con el bus SPI. En el momento en que la señal rencia de datos serie. es en este diseño de circuito. Mientras el puerto de entrada no está selec. La señal ‘slave-select’ SSDISP# es invertida para obtener una señal de desplazamiento/carga SH/ www.elektor-magazine. los datos en Hay un grupo impresionante ser legible en condiciones de baja iluminación. El puerto de expan. permite usar el mismo modo de temporización para una lectura (entrada esclava) y escritura (salida esclava) simultáneas. por lo tanto. los registros de salida permanecen sin cambio. se conec. Con el ciclo de trabajo al mínimo (1/256). bits del ‘latch’. El uso de bus SPI minimiza el número de seña- les requeridas para conectar la placa del visua- lizador con la placa madre. decir. paralelo son aceptados por el ‘latch’ de entrada. Hay que señalar lectura o de escritura). Mientras la señal LCLK perma- lógico. U4 = 74HC595) y el registro desplazamiento interno cambian en cuanto se Figura 8. Durante una transferencia SPI. La corriente media de los LEDs será mucho más baja que este valor (unos 2 mA en condiciones de visión normales). de unos 4 V) para ser usada habilitado y el reloj serie queda habilitado. les o blancos para cualquier de los indicadores. Los registros de desplazamiento CMOS de 8 bits. pero las fases relativas de los dos pulsos Nota: el reloj funciona de manera autónoma y no de salida son diferentes (en 180 grados).elektor-labs. utilidad de este proyecto.com/100149 contienen las BOMs (listas de materiales). los datos del registro de a las limitaciones de espacio. aumenta bidas que desarrollan proyectos embebidos en con el aumento de la iluminación de la unión del tiempo real con firmware. siempre que visualizador (Figura 6). con el propó- resistencias de ‘pull-up’ conectadas a la línea de sito de editar. separado de las otras conexiones de la placa del Además. servir como ejemplo útil para los ingenieros elec- El paso de corriente en la resistencia de emisor y. Esto no importa. que puede ser Internet Links descargado gratuitamente de la página web de Elektor [1]. los tiempos de comunicación programados. independientemente de transistor. las dos salidas del conmu.elektor. estamos limitados desplazamiento cambiarán cuando otro disposi. no primitiva. Debido [2] www. para ser cuando hacemos girar el eje del mismo. La tensión de emisor es monitorizada si construyen o no el Reloj Despertador “7-up”.com 40 | marzo 2013 | www. si hay alguno. diendo de la dirección de rotación. Por esta razón. página web de la comunidad ElektorLabs [2] Internamente. sólo como accesorios para el reloj despertador En la placa del visualizador se ha previsto el sino para otros que podrían ser usados de forma montaje del conmutador codificador rotatorio independiente. efectos de sonido incorporados (con conexión SPI separando la pieza más pequeña con el conmu. por un comparador de la placa madre que usa Este proyecto tiene el potencial de ser la semilla una técnica de conversión analógico-a-digital de un gran número de proyectos derivados. parámetros opcionales. y de datos basura en el registro de desplazamiento cómo se ha montado la placa del visualizador de interno. etc. del grupo de conectores la señal LCLK permanezca estática mientras haya en el panel posterior del reloj (Figura 7). asegura el comportamiento deseado. depen. Dad vuestra opinión en ElektorLabs y seréis escuchados. ¡Estáis invitados! La placa de la pantalla tiene un fototransistor (Q1 El firmware tiene muchas anotaciones y podría = BPW85C) que detecta el nivel de luz ambiente. trónicos y estudiantes de tecnologías IT y embe- por lo tanto. Los constructores de proyectos que decidan AC con cuatro relés de estado sólido (TRIAC). Por favor. como hemos señalado anteriormente. interfaz de control remoto conmutador codificador. placa PCM/MP3 con cortando la placa del visualizador en dos piezas. el conexionado podrían requerir una actualización del firmware de los terminales del conmutador codificador está para poder ser usados con el reloj. La conexión de la señal LCLK a la señal manera vertical en la caja (Figura 8). otra).es . Una rutina de descodificación firmware elimina el ruido de las Estáis invitados a sugerir cualquier cambio que señales y mantiene guardados los cambios en la pensáis que puede mejorar las prestaciones o la posición del eje del codificador. Esto se hace fácilmente IR (para equipos de TV/AV). salvar y restaurar las alarmas y alimentación de +5 V.•Proyectos no. de la placa del y se escribe en él. Cada salida produce 24 pulsos por vuelta del eje. En otras palabras. Ejemplos: placa de alimentación (S9). Los tres últimos ejemplos tador codificador. al microcontrolador).elektor-magazine. la tensión en sus extremos. depende de ningún programa Windows. desviarse de la configuración de caja ‘estándar’ lámpara de dormitorio de LED de baja tensión es posible que quieran cambiar la posición del con atenuación de luz. Usando usada con el reloj. los tador (A y B) generan trenes de pulso cuadra. es seleccionado principal ensamblada (Figura 5). el conmutador codificador tiene están invitados expresamente a desarrollar una dos juegos de contactos que se abren y se cierran aplicación software GUI para Windows. pedimos dos en una relación de fase de cuadratura (es a los desarrolladores del software para el GUI de decir desfasadas 90 grados una con respecto a la Windows que se ofrezcan. ‘slave-select’ SSDISP# de la pantalla. aquí a la hora de imprimir fotografías de la placa tivo del bus SPI. los lectores de Elektor activos en la visualizador (tira de ‘pads’ de 8 líneas). El paquete de documentación también [1] www. Ensamblado (100149) El ensamblado del reloj se describe en detalle en un documento llamado Instrucciones de Ensam- blado (Assembly Instructions). por ejemplo. . 3V_RPI 5V 3. sólo puede 3. Este regulador puede ser alimentado.3V_RPI Descripción del circuito K1 D1 IC1 3.3 V DC.•Proyectos Placa de Prototipos para Raspberry Pi Deja que la RPi haga las cosas… ¡Tus cosas! Tony Dixon (UK) Uno de los aspectos más interesantes de la placa Raspberry Pi.3 V. apoyo y ayuda. si queremos construir cualquier SCK 23 25 24 26 CE0 CE1 SCK 23 25 24 26 CE0 CE1 circuito que necesite más de 50 mA. Para ayudar a ello este artículo presenta una placa de prototipos que puede ser usada para construir más fácilmente nuestros propios interfaces y circuitos para la placa Raspberry Pi.11 o por una tensión DC interna. como podemos leer en una entrevista [2]. rrollo de la asociación sin ánimo de lucro Fun. LM1117T-3. La Fundación Raspberry Pi [1] quiere hacer de electrónica (RS y Farnell) y las comunidades llegar pequeños y asequibles ordenadores a los de desarrollo de diseñadores y de software.3 V. Su esquema eléctrico. es que esta placa tiene un pequeño y sencillo conector tipo “pinheader” sobre el que un usuario puede conectar directamente sus propios circuitos e interfaces. JP1 JP2 EXT INT REG INT 5V 3.3 V. K2 K3 1 2 1 2 La primera cosa que proporciona la placa de SDA 3 4 SDA 3 4 SCL 5 6 SCL 5 6 Prototipado RPi es una tensión de alimentación GPIO4 7 8 TxD GPIO4 7 8 TxD 9 10 RxD 9 10 RxD adicional de 3. niños de hoy día con la intención de que renazca Se ha dicho que “la Pi” (se pronuncia /pai/. La tensión de 3. En la placa de prototipos RPi esto lo proporciona Figura 1. La Fundación ha disfrutado de un enorme interés. que es un regulador lineal No mucho más que de 3. Elektor estuvo rápida en descubrir. localizada en Cambridge (como la Universidad de Cambridge). sino también uno de sus creadores. 3. un CI LD1117.3V GPIO22 15 17 16 18 GPIO23 GPIO24 3.3V La Placa de Prototipos RPi es una placa sencilla.elektor-magazine. El LD1117 puede 42 | marzo 2013 | www. Eben Upton. no su interés en la programación de ordenadores y /pi/) es “the beeb” (BBC Micro) de la era de todas las cuestiones técnicas. 3. un circuito. realiza a través del puente JP1. a diferencia de la mayoría de los ordenadores personales convencionales.3V GPIO22 15 17 16 18 GPIO23 GPIO24 proporcionar una pequeña corriente de unos 50 MOSI MISO 19 21 20 22 GPIO25 MOSI MISO 19 21 20 22 GPIO25 mA.es . necesita- remos disponer de una fuente de alimentación adicional de 3. compañías (UK).3 1N4001 diseñada para distribuir las señales de expan- 1 3 sión de la placa Raspberry Pi y proporcionar ali- C1 C2 + C3 2 mentación adicional a cualquier circuito montado 100n 100n 10u 16V sobre la zona de prototipos.3V_RPI 5V gratamente simple. La Raspberry Pi es un llamativo y excitante desa. se muestra en la Figura 1.3V IC1. Internet. por lo que. cuya selección se nuestra Raspberry Pi. no sólo el potencial de RPi. pre- GPIO17 11 12 GPIO18 GPIO17 11 12 GPIO18 GPIO27 13 14 GPIO27 13 14 sente en el bloque de expansión RPi. pero bien por una fuente de alimentación DC externa completamente esencial para un prototipo serio con 120483 . tanto de los institutos de educación dación Raspberry Pi. La segunda cosa que proporciona la placa de prototipos RPi es un medio sencillo de acceder a las señales desde el conector de expansión de la Pi. colocaremos el puente JP1 en ‘INT’. C2. Los componentes ligeramente más Si el puente JP1 está colocado sobre ‘INT’ grandes. pos RPi pueda conectarse a una Raspberry Pi. soldamos el conector K2. es decir. D1. el puente JP1 permite que la línea de alimentación de 3. Dicho línea de 5 V de la placa Raspberry Pi. Una nota final sobre el circuito: si estamos dise- ñando un pequeño circuito en el que no necesita- mos más de 50 mA de la tensión de 3. llega a IC1 a través del conector ‘jack’ están- dar de 2.3 V.es | marzo 2013 | 43 .1 mm (terminal central positivo. conector debe montarse por la cara de soldadura Si el puente JP1 está colocado sobre ‘EXT’ de la placa para permitir que la Placa de Prototi- (‘externa’). debemos soldarlos a continua- (‘interna’). con los componentes más pequeños. El puente JP2 tendrá que estar configurado en la posición ‘REG’ (‘regulador’). placa de prototipos para RPi proporcionar hasta 800 mA. Un segundo conector. permitiendo que el circuito diseñado por el usuario (si. Finalmente. Los condensadores C1 y C2 actúan como dispositivos de supresión de ruido sobre IC1. Figura 2. primero soldamos empezar a jugar sobre ella. K3 tador de tensión de red. www. saca las señales de la Raspberry Pi al exterior. C1. Para conseguir esto.elektor-magazine. y a través del diodo D1. comprendida entre 9 y 12 no es un conector sino un conjunto de terminales VDC. ¡vuestro cir- cuito¡) se pueda conectar fácilmente a la misma. externo de 0 V). JP1 y JP2. mientras que C3 es un pequeño almacén de carga.3 V de la zona de prototipos se conecte directamente a la misma línea de la placa Raspberry Pi. K1 y C3. el adecuado radiador adosado al mismo. la tensión de alimentación de un adap. si se necesitase. K2. Ensamblado Una placa de prototipos es El ensamblado de la Placa de Prototipos RPi es como una cancha de tenis bastante sencillo. IC1 se alimenta directamente de la ción. Sirviéndonos de la serigrafía sobre hierba: lista para de mostrada en la Figura 2. K2 es importante.•Proyectos el conector USB de la Raspberry Pi se ha creado un espacio libre rectangular en la Placa de Pro- totipos RPi. La segunda es que la corriente que las señales RPi consumen o suministran es pequeña. o c) difundir extensa- la Pi. cortesía necesitamos para nuestro circuito experimental. deberemos tener cuidado con lo Montaje impecable. divididos en dos campos. en su defecto. +VS 1 18 R1 D1 El ejemplo siguiente es para todos vosotros que GPIO17 GPIO18 2 17 R2 D2 queréis investigar en los fundamentos de cómo con- 3 16 R3 D3 GPIO22 trolar algo con una RPi. debería tener una altura total de no menos de 10 No quiero ninguna aplicación de ejemplo para mí. crea una altura de complicar las cosas. para proporcionar muy compacta que tiene un cierto número de las capacidades de fuente/consumo de corriente componentes que sobresalen. pero nunca he publicado nada mío. ilustrada. si la tienes. que conectamos. etc. con seguimiento Figura 4. el adicionales o. los lectores parecen estar conectada a la misma. los cuales tienen el potencial de interferir Siempre que Elektor publica algo sobre placas mecánicamente con cualquier placa de expansión con microcontroladores. el compatibilidad de 5 V. con Figura 3. en concreto. junto con la altura del monto ningún micro sin una aplicación totalmente conector tipo ‘pinheader’ estándar de 0.elektor-magazine. por favor muéstramela”. ¡Toda 9 ULN2803 ción. tanto los miembros Campo #1 separación de 13 mm. Este espacio es suficiente como #2 pueden elegir a) no decírselo a nadie. Para tado en la placa Raspberry Pi. No conectaremos nada que de Luc@Elektor. que cruza lentamente la parte baja de Esquema eléctrico del 8 11 R8 D8 GPIO4 circuito de demostración VEE Manhattan. ‘tweets’. ¿Por qué? Porque está implicado el software.8 mm que.Labs.1” mon. La Raspberry Pi es una placa ‘buffer’. b) para dejar pasar los conectores RJ45 y RCA de decírselo sólo a tus amigos.3V IC1 10 colección de opiniones. Si necesitamos suministrar o la palca se corresponde a La elección del componente para el conector consumir más. únicamente de chicas. Así pues. ¿Lo hemos hecho todo con nuestro soldador? Si es así. Vuestra imaginación es la única restric- 8x 330R de ‘RPi Blinking LED’. conector RCA de video compuesto (altura = 13 mm) y los conectores duales USB (altura = 17 Una demo RPi: LED intermitente mm). proporcionar una conector Ethernet RJ45 (altura = 13 mm). como un 74LVC245. el conector K2 gente que tú. en torno a los 8 mA. Uso de la placa — generalidades Un par de cosas a recordar sobre el conector de expansión de la Raspberry Pi: la primera es que las señales presentes en el conector de expansión RPi son de 3.12 Este proyecto muestra un ULN2803. Añadimos variables como lenguaje. El conector especificado para K2 tiene una Desde el Campo #2 se puede oír: “No compro o altura de 10. con unos pocos LEDs. comparad vuestro trabajo con la placa prototipo fotografiada en la Figura 3. 7 12 R7 D7 GPIO27 de GPS.es . como 4 15 R4 D4 GPIO23 5 14 R5 D5 se muestra en la Figura 4. un ‘array’ software! Darlington de 8 canales que controla un grupo 44 | marzo 2013 | www. la potencia real está en el 120483 . de soldadura donde conectamos las señales que lo que. para que nues. edad 16 ó 66 — y conseguimos una interesante 3. por lo que deberemos tener mucho cuidado con lo que conectamos a estas señales. Un miembro típico del tra placa de prototipos RPi se pueda conectar sin Campo #1 dice: “Soy invariablemente más inteli- problemas con una Raspberry Pi. impreso o ‘en línea’. o el minibar de una GPIO24 GPIO25 6 13 R6 D6 limusina.3 V y no son compatibles con 5 V. utilizaremos un circuito integrado una Rpi de Revisión 2. Cada uno es libre de soldar los terminales que necesite mucha corriente ya que no la va a Señalar que la serigrafía de necesite en los agujeros correspondientes. de nuevo.” mm. poder conseguir. Para evitar la interferencia mecánica con mente su opinión en foros. sleep(0. Aunque. el Listado 1 muestra un sencillo programa Python que controla LEDs. sí.tar. incluso.py Este programa usa una librería GPIO Python para # !/usr/bin/python darnos acceso a los terminales GPIO.4. teclado y almacenamiento le dan un precio superior.GPIO-0.23. para mantenernos dentro de la tradición. Ahora pantalla el mensaje “Hola el Mundo”. buscába- mos esta conexión con Python. False) time.1a. co-creator y profeta de Raspberry Pi Q: ¿De dónde el nombre? A: Queríamos tener un ordenador específico para Python y hay una gran tradición de llamar a los ordenadores con el nombre de una fruta: como Apricot. Escribid lo siguiente: # Bucle del programap wget http://pypi. Los periféricos como pantalla. Así.01) ficheros.setmode(GPIO.1a trar que todo funciona. Así. placa de prototipos para RPi Dice Eben Upton.setup(pin.tar.4.22.gz www. True) Una vez descargado necesitamos extraer los time. De aquí es de donde viene Pi. Si combinamos esto con un teclado de segunda mano. ni pantalla… ¿cómo tendrá éxito este producto? A: Básicamente no hay razón por la que un ordenador tenga que costar más de 40 € (50 $). hoy día hay ordenadores con el nombre de fruta. en primer lugar # Configura los terminales GPIO de Pi descargaremos las herramientas de desarrollo GPIO. El Raspberry está diseñado específicamente para que los más jóvenes aprendan a programar.24. con unos pocos euros podemos disponer de un sistema totalmente fun- cional. y también escribimos: lo es que cualquier nuevo proyecto hardware empiece por hacer parpadear un LED para mos.output(pin. Escribid: GPIO.python.4] sudo apt-get install python-dev for pin in pins: Para poder acceder al Puerto GPIO de la Ras- GPIO.21.18. sin teclado ni disco duro.sleep(0.25.output(pin.1a. Si aún no import time hemos descargado las herramientas de desarrollo Python o la librería GPIO Python entonces.BCM) Python escribiendo: pins = [17. Ya es una tradición que nuestro primer Una vez extraídos se creará un nuevo directo- programa en un nuevo sistema se muestre en rio con los ficheros Python en su interior. pero con Raspberry Pi hemos tomado otro camino: podemos usar una TV normal como pantalla. cd RPi. (reproducida de la edición de Elektor de Abril de 2012) de LEDs.GPIO/RPi. usando import RPi. escribiremos los siguientes comandos. Raspberry sigue esta línea de una rica tradición con el Pi y. Listado 1: Blinky.elektor-magazine.GPIO-0. Q: La Raspberry Pi es una placa de PC desnuda.GPIO-0.GPIO as GPIO un Terminal LX en nuestra Pi.GPIO.org/packages/ while True: source/R/RPi.gz for pin in pins GPIO.4. Acorn e.01) tar -zxf RPi.es | marzo 2013 | 45 .OUT) pberry Pi necesitamos descargar e instalar el paquete GPIO. Es este caso.py install BOARD) establece un enlace directo entre las líneas de E/S y la numeración física del conec- Una vez que hemos hecho todo esto deberíamos tor de expenasión en la placa Rpi. y GPIO22. el ‘array’ de terminales tiene la Pi.3V - P1-20 GND .8 KΩ conectada a 3. Ahora instalaremos el paquete escribiendo: es decir.•Proyectos Más circuitos y aplicaciones RPi muy pronto en el boletín Elektor. 2. la etiqueta del esquema GPIO27 viene indicada como ‘21’.setmode(GPIO. P1-19 GPIO10 SPI0_MOSI GPIO10 SPI0_MOSI P1-22 GPIO25 P1-21 GPIO9 SPI0_MISO GPIO9 SPI0_MISO P1-24 GPIO8 SPI0_CE0_N P1-23 GPIO11 SPI0_SCLK GPIO11 SPI0_SCLK P1-26 GPIO7 SPI0_CE1_N P1-25 GND .POST Listado 1. P1-13 GPIO21 GPIO27 P1-16 GPIO23 P1-15 GPIO22 GPIO22 P1-18 GPIO24 P1-17 3. GPIO18.elektor-magazine.54 mm).3V. que dota al pequeño ordenador 26 señales de expansión. se han empleado los siguiente ocho terminales en el ‘array’ llamado ‘pins’: GPIO17. el interfaz de expansión de Raspberry Pi se encuentra disponible en un conector de tipo “pinheader” de doble fila. P1-052 GPIO1 I2C0_SCL GPIO3 I2C1_SCL P1-08 GPIO14 UART0_TXD P1-07 GPIO4 GPCLK0 GPIO4 GPCLK0 P1-10 GPIO15 UART0_RXD P1-09 GND .0V . A continuación. la numeración de E/S más antigua. sudo python setup. GND - Notas: 1.3V . P1-032 GPIO0 I2C0_SDA GPIO2 I2C1_SDA P1-06 GND .3V - P1-04 5. apariencia siguiente para conseguir el mismo escribiremos el programa que se muestra en el efecto en los LEDs: Conector de Expansión de Raspberry Pi Tabla 1. Mirando el programa. En este caso. En nuestra configuración.es . GND - P1-12 1 GPIO18 PWM0 P1-11 GPIO17 RTS0 GPIO17 RTS0 P1-14 GND . a través de GPIO4. Usando esta tener la librería GPIO Python instalada. el comando GPIO. Distribución de terminales del conector de Expansión Nombre del Función del Nombre del Revisión 1 de la placa Revisión 2 de la placa Alternativa terminal terminal terminal Función del terminal Alternativa Función del terminal Alternativa P1-02 5. Con referencia a K2 en el esquema eléctrico. I2C0_SDA0 e I2C0_SCL0 (GPIO0 & GPIO1) tienen una resistencia de ‘pull-up’ de 1. bien usando el IDLE o bien un editor de textos. de 0.BCM) utiliza los nombres simbólicos asigna- dos a las líneas de E/S (Entrada/Salida) de la RPi.3V . P1-01 3. GPIO18 (Pin 12) soporta salida PWM. Estas señales están dentro de una de las siguientes tres categorías: 46 | marzo 2013 | www. 3.0V . ‘Setmode’ define los números usados para direccionar los terminales de forma individual. 3.1” (2. La instrucción GPIO. Señalar que la asignación sigue la Revisión 1 de la PCI de la RPi.setmode (GPIO. podemos ejecutar nuestro programa RPi a través del conector de escribiendo el siguiente comando: señales K2. un pequeño pero importante detalle a recordar si estamos planificando usar dispositivos con interfaz I2C. Además del conector de Expansión P1. lo salva- mos como ‘Blinky.15.elektor-magazine. Además. Los cambios más importantes es que el interfaz I2C0 ha sido sustituido por el I2C1.12. Esto añade otras cuatro señales GPIO.22. fácilmente la temperatura de la CPU o la activi.7] Una vez que hemos escrito el programa. Elektor abril 2012.py’.elektor- aficionados a la electrónica más orientados a la magazine. la fuente de corriente se establece en 8 mA. (120483) dad de la red sobre los LEDs. [2] Entrevista a Eben Upton: “What are you tipos descrita en este artículo permite que los Doing?”. por defecto.es | marzo 2013 | 47 . sudo . después de un reinicio.elektor-magazine.es/120483 Tabla 2. SPI e I2C.es/120228 parte hardware consigan que la RPi haga cosas [3] www. cambiamos a un terminal LX y escribimos el siguiente comando para hacer que nuestro programa sea ejecutable: Figura 5. Cada terminal GPIO puede suministrar entre 2 y 16 mA. La mayoría de ellas pueden tener funciones alternativas.18. El circuito experimental chmod +x blinky. todo el mundo debería saberlo. Conclusión Referencias en Internet El ordenador Raspberry Pi ofrece un enorme [1] Web de Raspberry Pi: www. SPI e I2C terminal terminal P5-01 5V0 * Nota: 3. Estas P5-05 GPIO30 PCM_DIN funciones alternativas proporcionan interfaces para UART. aunque lo más importante es permitir el acceso al interfaz de audio PCM del circuito integrado Broadcom 2835.elektor-labs. placa de prototipos para RPi pins = [11.3V P5-03 GPIO28 PCM_CLK Hay 17 señales de entrada/salida de propósito general (GPIO) en el conector P5-04 GPIO29 PCM_FS de expansión.13. P5-02 3.3 V DC* así como 0 V (solo en placas con Revisión 2) Entrada/Salida: señales de Entrada/Salida de Propósito General (General Purpose Input/Output o GPIO) Nombre del Función del Alternativa Interfaces de Comunicaciones: UART Serie.py en el mundo real.3 V sólo puede proporcionar una corriente máxima de unos 50 mA.com.raspberrypi. denominado P5 (ver Tabla 2). La placa de proto. Si desarrollas una aplicación RPi. www.org potencial de programación y de desarrollo soft- ware por muy poco dinero. dependiendo de la P5-06 GPIO31 PCM_DOUT configuración de intensidad de su controlador. las señales del conector de Expansión P1 han sido realizadas en las placas con revisión 2 (ver Tabla 1). www. la Revisión 2 de la Raspberry Pi ha introducción de un segundo conector de expansión más pequeño. no lo dudes Con un poco más de código podríamos mostrar y en envíala a www./blinky. P5-07 GND La intensidad del controlador se establece P5-08 GND en un registro de configuración y. Conector Header P5 Alimentación: +5 V DC y 3.py distribuido en la zona de prototipos y conectado a la Hecho esto.16. A modo de aplicación hicimos parpadear un LED. Activa nir directamente los terminales de la placa en el también la opción copied project. También demostraré como defi.. primero 2 en el ISE Project Navigator y haz clic en tenemos que vaciar gran parte de top. Abre el proyecto Hello World del capítulo Como vamos a crear un diseño jerárquico.es .Labs) que poder programar nuestra pequeña placa FPGA. Para eso la opción Exclude generated files from the copy. Haz clic sobre OK y disfruta un momento de las florecitas que salen mientras ISE prepara el nuevo Ahí va otra vez proyecto y finalmente lo abre. Ahora solo nos interesa crear un proyecto con tos de 2 cifras.elektor-magazine. porque eso nos fichero User Constraint File (UCF) sin la necesidad ahorrará luego un par de cliques con el ratón. los ajustes correctos para nuestra placa. contador up/down con un display de 7 segmen.. Vamos a File → Copy Project.•Proyectos Diseña tu propio integrado (3) 250000 puertas cuentan hasta 100 Clemens Valens En el artículo anterior demostramos como podemos hacer un proyecto ISE con el (Elektor. En este artículo demostraremos como crear un proyecto jerár- quico con componentes diseñados por uno mismo. haz clic con el botón derecho del ratón sobre el 48 | marzo 2013 | www. de utilizar la herramienta PlanAhead. Introduce un nombre para desplazar el circuito que se encuentra en top a el nuevo proyecto (lo he llamado part3) y activa un nuevo fichero que he llamado clock. Como aplicación hemos optado por un sencillo porque vamos a volver a generar todo de nuevo. . Al esquema clock hay que añadir ahora una tabla de E/S para terminar correctamente las entradas de reloj cortadas. Como podrás observar el componente tiene cionado General en el cuadro Categories. Asegú. de la misma manera a la descrita en el capítulo 2. Selecciona clock. opté por la utilización de una resistencia pull-down. Aquí no necesitamos la señal CLR y en la FPGA la podemos fijar directamente a un nivel bajo sin tener que utilizar un terminal. sino dis. Así se habrá cambiado (figura 1A). Ahora podemos copiar el contenido de top a clock con las operaciones Figura 1. Haz clic en sobre OK y Haz clic en otra principio de la línea Create Schematic Symbol. el símbolo por el de la figura 1B. haz bols un componente clock que puedes colocar clic en sobre Update y a continuación sobre OK de forma habitual en top. Haz clic. Entonces veremos una línea top. de modo que la señal se Figura 3. (figura 2). pones solo de LED1_OUT) con los buffers corres- pondientes OBUF y los taps de buses. Ahora haz derecho del ratón sobre Create Schematic Symbol doble clic en la línea Create Schematic Symbol. eliminamos para llegar a B. aparece debajo de la ventada Sym. vez con el botón derecho del ratón sobre Create Así se habrá añadido el nuevo componente a la Schematic Symbol.sch. así que vamos a simplificar nuestro Crear componentes componente. introduce el nombre del fichero y Haz clic en sobre Next seguido de Finish. en mi caso apa. Hazlo y obsérvalo bien para cerrar la ventana. El componente clock rate de que la red CLK_IN con su buffer IBUFG se A tiene un montón de queda atrás en top. igual que las redes LED1_OUT conexiones innecesarias que y LED2_OUT (si has hecho los deberes. www. si es necesa. Realmente no necesitamos estas señales. a continuación selecciona Schematic. un marcador de E/S y llama CLK a la red que junta las entradas de los contadores ‘C’. pueda seguir utilizando.sch guardado (reconocidos en ISE con un asterisco). Hay varias maneras posibles.. Vamos a construir un contador up/down. el ISE abre la ventana Obsolete Symbols esta línea. sobre el que está delante de Design Utilities contenga la palabra clock). selecciona Process ISE empieza a trabajar y después de un ratito Properties… y activa la opción Overwrite Existing aparece. Haz clic con el botón en la ventana Processes para abrirlo. Puedes encontrar la resis- El componente clock tencia pull-down en la lista Symbols después de utilizado en top. Coloque. de top con un nombre algo diferente pero que rio. si todo está bien. pero selecciona ahora ReRun. habituales de cortar y pegar de Windows. para el que el circuito en clock va a generar la señal de reloj. lista Categories en la parte casi superior de la Espera hasta que ISE haya terminado y vaya a pestaña Symbols.elektor-magazine.. plo Hello World. Si seleccionamos parte. LED de top de la misma manera que en el ejem. Activa la pestaña Design y selecciona Abre la pestaña Design y selecciona el fichero el ítem clock (que ahora puede colgar debajo clock de la lista Hierarchy. haber Haz clic endo sobre el botón Add Symbol (o haber activado la pestaña Symbols) y selec. de la ventana que hay debajo. FPGA símbolo FPGA de la pestaña Design y elige New Source.es | marzo 2013 | 49 . una marca verde al Symbol. Luego conectaremos los Figura 2. Elimina todas las etiquetas y tro- Guarda todos los ficheros que aún no se han zos de hilos innecesarios del fichero clock. pero en el momento en que haces clic en alguna rece <C:\work\FPGA\part3>. Arrastra muchas conexiones innecesarias que empiezan el pulldown al esquema y colócalo en la red CLR con XLXN_. El esquema de nuestro componente clock. nueva con la ruta del proyecto. donde lo puedes actualizar. Aquí aparece aún el símbolo ‘antiguo’. vés de un clic con el botón derecho del ratón sobre Crear un contador BCD el ítem top. Si cuenta hacia abajo y se encuentra en el número 0. y corrige primero el problema. en el siguiente paso tiene que cargar el número 0. un clic con el botón derecho del ratón sobre Generate Programming File y copia el resultado a la tarjeta SD de la placa FPGA tal y como viene descrito en la 2ª parte. así que tenemos que adaptarlo de modo que cuente desde 0 hasta 9 ó desde 9 hasta 0. Genera a continuación un bitstream a través de.o mejor dicho XST . mientras que nosotros necesitamos uno que cuente desde 0 WARNING:Xst:753 .vhf” line 1962: Uncon. de 4 bits basado en un plo. de una pequeña búsqueda encontramos un con- segmentos es un diseño pre en evitar advertencias. si el contador cuenta hacia arriba y se encuentra en el número 9. pero por desgracia el tador CB4CLED. ‘CB16CE_MXILINX_top’. ya que nos quedamos con un trián- gulo de aviso de color amarillo en la línea Syn- tesize – XST de la pestaña Design. por ejem. El propio Xilinx dice que se puede negar esta adverten- cia sin más en caso de que efectivamente no se vayan a utilizar las salidas abiertas [2]. un único inversor es sufi- ciente (ver las señales UP y D0-D3 en la figura 4). Así que. Nos esforzamos siem.no parece tener una 4 bits con posibilidad de carga. El contador elegido cuenta desde 0 hasta 15 ó desde 15 hasta 0. Existen todo tipo de estos puertos en la librería del ISE y podemos elegir exactamente 50 | marzo 2013 | www. busca Un contador up/down BCD inicia Implement Top Module a través de. por ejemplo.elektor-magazine.“C:/work/FPGA/ hasta 9. Este es el único tipo de contador up/down que está disponible.es . Nuestro contador también tiene nected output port ‘Q’ of component que contar tanto hacia arriba como hacia abajo. Así que le tenemos que construir noso- part3/top. tros mismos. Afortunada- mente. A continuación aparecerán en pantalla Las librerías del ISE contienen todo tipo de con- un número de advertencias del tipo Xst:753: tadores. Podemos derivar estos valores iniciales de la señal up/down con un truco. tiene que cargar el número 9. Podemos hacerlo recargando el conta- dor con el nuevo valor de inicio cuando llegue a su valor final. un contador up/down binario de puramente combinatorio. Conecta ahora el símbolo clock según la figura 3 e puedes seguir leyendo. Los valores finales 0 y 9 del contador los detectamos con dos puer- tos AND. el botón con el triángulo de color verde o a tra- contador binario de 4 bits. nos basamos lo máximo posible en los Figura 5. el resto de la implementación genera marcas de color verde. Cuando el contador alcance un valor final que corresponda con la dirección de la cuenta. Después El descodificador BCD a 7 los componentes en clock.•Proyectos solución práctica para esta advertencia. Si efectivamente ese es el caso. En caso contrario. Resetea la placa y si todo ha ido bien los LED parpadearán igual que lo hicieron anteriormente. así que tendremos que vivir con ello. Para no tener que volver a inventar de nuevo la rueda. Es una pena. pero todos son binarios. Figura 4. Estas se refieren a las salidas desconectadas de componentes de las librerías del ISE. ISE . se tiene que generar una señal de carga. podremos convertirlo empieza a tener forma. Hay que darse cuenta de que la salida del contador sólo cambia de valor después del flanco ascen- dente de C. Aún no sé cómo nidos (por ejemplo glitches) que pueden causar puedo forzar que tenga un orden determinado. Así que en la salida del FDC aparece riormente descrito. Interconéctalos pasa. EL contador CB4CLED sólo reconoce esta señal en el siguiente flanco ascendiente de C.es | marzo 2013 | 51 . www. Mi coun- estar mal definido. Cuando cuelgan debajo de este. después de que el con. Puedes ver mi diseño. En el siguiente flanco ascendente de la señal de reloj C se carga la señal activa L en el biestable FDC. ter_updown_bcd4-component tiene sus salidas tizado que vaya sincronizado con el reloj prin. el ISE producirá una advertencia cillo. mientras el contador CB4CLED carga el nuevo valor de inicio. Un contador BCD de 1 cifra cada vez un bonito pulso. por lo que pueden surgir estados indefi. Este componente puede quedar comple- design practice. This is not good utilizado. del biestable.Gated lógicos. que tengan que estar sincronizadas con el reloj. siempre surgen pequeños retardos debido a los tiempos de latencia de la lógica. Este tipo de componente es bastante sen- si haces esto. Clock net XLXN_116 is sourced by hecho con lookup tables (LUT’s). por lo que el esquema ha cipal. Crea resultado de un número de operaciones lógicas para eso un nuevo fichero fuente esquemático y (puertos) y este tipo de señal tiene un retardo arrastra el contador BCD up/down y el descodifi- que depende del número de puertos por los que cador de 7 segmentos hacia ahí. completamente construido con puertos WARNING:PhysDesignRules:372 . un poco mezcladas. que file y conviértelo en un componente. porque no produce señales trol the loading of data into the flip-flop. Combinatoria quiere decir que la señal sólo es el un contador con un driver de 7 segmentos. de modo que no está garan. Este pulso se Descodificador de 7 segmentos puede utilizar para controlar un siguiente contador. Sin embargo. quedado un poco desordenado. Por eso más tarde la señal L vuelve a estar inactiva. También se podría haber clock. Ahora verás en la pestaña ver a seguir el paso (sincronizar) con el reloj Design del ISE que los otros dos componentes principal y la advertencia desaparece. con salidas de 7 segmentos. fallos. tador haya alcanzado el valor final. Para nuestro contador con display de 7 segmen- El biestable FDC es más importante de lo que se tos necesitamos también un descodificador de 7 hubiera pensado a primera vista. Conectándole a un biestable podemos vol. Las dos señales de carga se unen en la señal L con un puerto OR. La señal L es la señal combinatoria como Si el esquema está terminado. Use the CE pin to con. No encontré ninguno en las librerías debería ser posible controlar un siguiente con. es una mala práctica utilizar las señales Contador de 7 segmentos combinatorias como señales de reloj en diseños Con los dos componentes anteriormente realiza- FPGA (y otros complejos circuitos con lógica). FPGA aquellos que disponen del número correcto de entradas normales e invertidas. Este tipo de retardos puede ser variable o tal y como viene dibujado en la figura 6. por lo que el pulso de reloj que viene a continuación vuelve a inactivar la salida en un componente utilizando el método ante. al fin y al cabo segmentos. así que eso va perfecto. Nada ocurre de inmediato. del ISE. mismos. dos podemos construir un nuevo componente. pero aquí no lo he a combinatorial pin. Tal y como indica la adver- tencia. depende del diseño. puedes convertirlo tal. en un componente. La estructura jerárquica el diseño esté terminado. así que tenemos que construirlo nosotros tador directamente con la señal L. sólo es un poco extenso debido a las cuatro durante la implementación: entradas (BCD) y las siete salidas. Dibuja este diseño en un nuevo schematic source Aquí XLXN_116 es el nombre de la red. en la figura 5. tamente combinatorio. Esto nos ayuda en el cableado. Figura 6.elektor-magazine. •Proyectos cuitos sensibles a la FPGA sin controlarles desde la FPGA (porque quizás lo quieras hacer más tarde o algo así). Es mejor fijar temporalmente este tipo de terminales a un nivel definido por uno mismo. Finalmente el circuito se tiene que parecer al de la figura 7. Yo opté por proveer a los contado- res de una frecuencia de reloj de 7,6 Hz (salida clock Q3), pero quizás te parezca algo dema- siado rápido. Elige otra salida para otra veloci- dad de contar. Ahora la jerarquía en la pestaña Design es com- pleta: el chip viene encima de top y los compo- nentes cuelgan de este top (figura 8). Tratar el fichero UCF Ahora sólo tenemos que conectar los terminales de la FPGA. Lo hacemos en el fichero UCF que cuelga debajo de top. Abre la pestaña Design y desplié- Figura 7. El diseño total gala (cuando sea necesario) haciendo clic sobre el El diseño top del contador Ahora todos los componentes están listos y pode- más. Si todo está bien, verás el ítem top.ucf. Haz up/down de dos cifras. mos unirlos como un único conjunto en la hoja top. doble clic y espera hasta que el ISE abra el fichero. Aparte de un componente clock necesitamos dos A continuación verás un sencillo archivo de texto contadores de 7 segmentos. Provee a todas las con las definiciones de los terminales del capítulo salidas que se tengan que conectar a un terminal 2. Todo está un poco mezclado, pero no es difícil de de la FPGA de una OBUF. No hacen faltan buffers entender. Todos los terminales están conectados a para las entradas excepto para la señal CLK, pero una NET (RED) a través de una variable LOC. Por sí hay que colocar etiquetas. Coloca también una supuesto que el nombre de la red tiene que apare- etiqueta a la salida CEO no-utilizada del segundo cer en el diseño. LOC significa location y contiene contador de 7 segmentos y en el bus Q(7:0), para el número del terminal de la FPGA. Cada terminal evitar advertencias referentes a las salidas des- tiene también un IOSTANDARD y este es siempre conectadas (ya sabes, XST:753). Por otra parte, el mismo para nuestra placa, es decir LVCMOS33. es bueno saber que el ISE conecta a escondidas No se hacen diferencias entre entradas y salidas. las etiquetas desconectadas de top a un terminal. Algunos terminales también pueden tener un atri- Pasa, por ejemplo, la sonda de un osciloscopio por buto PULLUP o PULLDOWN, lo que se refiere a una los terminales desconectados de la placa FPGA. resistencia pull-up o pull-down en el chip. Hay que tenerlo en cuenta antes de conectar cir- Las redes CLK_IN, LED1_OUT y LED2_OUT son siempre las mismas en nuestra placa, así que podemos definirlas en la parte superior del fichero y olvidarlas. El resto de las redes se pueden eli- minar (o tratar), ya que vamos a necesitar otras. Se pueden añadir comentarios empezando una línea con una ‘#’. Recuerda durante la asignación de los terminales que el terminal 37 (terminal 13 de la FPGA) sólo puede ser una entrada. Este es el principio de mi lista: # Hardwired pins NET “CLK_IN” LOC = P32; NET “CLK_IN” IOSTANDARD = LVCMOS33; NET “LED1_OUT” LOC = P90; Figura 8. NET “LED1_OUT” IOSTANDARD = LVCMOS33; La jerarquía del diseño en NET “LED2_OUT” LOC = P91; una imagen. NET “LED2_OUT” IOSTANDARD = LVCMOS33; 52 | marzo 2013 | www.elektor-magazine.es FPGA # 7-segment display 1 NET “DISPLAY1_A” LOC = P15; NET “DISPLAY1_A” IOSTANDARD = LVCMOS33; NET “DISPLAY1_B” LOC = P16; NET “DISPLAY1_B” IOSTANDARD = LVCMOS33; … Implementar Ahora disponemos de todo lo necesario para generar un bitstream para nuestra FPGA. Haz clic sobre el botón de Implement Top Module (lee también la primera parte de este artículo) y espera hasta que el ISE haya terminado. A continuación recibirás (por desgracia) algunas advertencias, pero (afortunadamente) puedes ignorarlas todas. Las advertencias tipo: WARNING:Xst:653 - Signal <dummy> is used but never assigned. mensaje de error durante la implementación. Figura 9. ¿Quizás exista en alguna parte la posibilidad de Así están conectados los son culpa del propio XST que utiliza redes de que el ISE lo actualice todo de forma automática? displays de 7 segmentos a la placa FPGA en el laboratorio ayuda en la construcción del descodificador de 7 de Elektor. segmentos y del contador de 7 segmentos, pero Continuará… con lo que el XST no hace mucho. La próxima vez profundizaremos en la simulación El paso Place & Route (PAR) también genera una de este diseño. Probablemente entraremos en advertencia: contacto con un Hardware Description Language (HDL) como es VHDL o Verilog. WARNING:Route:455 - CLK Net:Q_3_OBUF may (120743) have excessive skew because Enlaces Web De momento no podemos hacer mucho con esto, [1] www.elektor.es/120743 ya que no viene nada detrás de because. Así que [2] www.xilinx.com/support/answers/14065.htm la razón de esta advertencia, por desgracia, no queda clara. Vamos a hacer caso omiso de ella, probablemente nuestro circuito no tendrá pro- Se puede adquirir la placa FPGA de pruebas blemas de excessive skew (espero). completamente ensamblada y probada Genera a continuación el bitstream a través de a través de Elektor por el módico precio Generate Programming File y cópiale a la tar- de 59,95 € más gastos de envío. jeta SD de la placa FPGA con el nombre config. bin. Reinicia la placa, y si todo está conectado Ver www.elektor.es/120099 correctamente tal y como lo hice yo en la figura 9, el contador debería empezar a contar. Puedes influir el contador a través de los terminales 27 (reset, activo a nivel alto) y 29 (up, nivel alto o down, nivel bajo). Los dos LED de la placa par- padean como antes. Deberes Construye el descodificador de 7 segmentos con LUT. Ten en cuenta que cada vez que actualizas un componente hay que volver a generarlo de nuevo, pero también hay que actualizarlo en las hojas superiores. Si no lo haces, recibirás un www.elektor-magazine.es | marzo 2013 | 53 •Proyectos Driver universal para LEDs de potencia Pequeño, eficiente y práctico Ingo Burret (Alemania) Como todos sabemos, los LEDs funcionan a corriente constante. En los LEDs de potencia, esta corriente es correspondientemente más alta, de modo que para minimizar pérdidas suele utilizarse un regulador conmutado. Dado que los LEDs de potencia son cada vez mejores y se han ido abaratando, existen integrados espe- ciales para esta tarea, que facilitan en gran medida el montaje de un driver para LEDs de potencia. Normalmente, ni las baterías, ni las pilas, ni tam- poco los adaptadores suministran la corriente constante necesaria para alimentar un LED. Sim- plemente pueden suministrar una tensión más o menos constante. Para los LEDs normales, con un consumo del orden de mA basta con la típica resistencia en serie. A corrientes mayores, con esta solución tan primitiva tira- ríamos demasiada energía, echando a perder la alta eficiencia de los modernos LEDs de potencia. Por ello, salta a la vista la necesidad de utilizar un regulador conmutado, capaz de suministrar corriente constante manteniendo una alta eficiencia. Corriente constante conmutada Desde hace algunos años, los LEDs de potencia se utilizan cada vez más para iluminación, lo cual ha dado origen a un mercado de reguladores conmutados integrados, específicos para LEDs. El integrado utilizado aquí es el TS19377 del fabri- cante Taiwan Semiconductor. La especialización de estos integrados consiste en que la tensión de referencia del amplificador de instrumenta- ción interno, la cual se compara con la caída de tensión en un shunt, es muy baja. El integrado requiere una caída de 0,25 V: extremadamente reducida en comparación con los 2,5 V estándar de los reguladores conmutados a tensión cons- tante. Incluso con una corriente de salida de 1 A, la potencia disipada en el shunt será de tan sólo 250 mW. Debido a su diseño SMD, el integrado es muy pequeño, pero aun así incorpora un MOSFET 54 | marzo 2013 | www.elektor-magazine.es fuente de alimentación canal p que actúa de conmutador de potencia Uin mínima en V en función del número de LEDs y su color muy eficiente, para corrientes de hasta 2 A. Gra- Número de LEDs 1 2 3 4 5 6 7 8 cias a la elevada frecuencia de conmutación de Uin, LEDs rojos: 3,6 6 8 11 13 16 18 21 330 kHz en el circuito basta con una pequeña bobina. El integrado incorpora algunas carac- Uin, otros colores: 4 8 12 16 19 terísticas más: no sólo implementa una protec- ción anti-cortocircuitos a modo de limitador de corriente, sino que el chip también reduce su potencia de salida cuando se calienta demasiado. C1 R6 C2 Tampoco tendremos que preocuparnos por no 100k 330u 100n encontrar fácilmente semejante integrado “tan especial”, o porque vaya a ser caro. Está dispo- JP4 1 nible en casi cualquier tienda de electrónica y JP1 4 2 ON/OFF VCC L1 JP2 su precio es inferior a 1 €, incluso compramos 3 COMP IC1 SW 5 2 1 R1 68uH un solo ejemplar. SW 6 1 TS19377 DC 4 - 23V * 2 EN FB 1 LED Detalles del circuito GND GND 7 8 El circuito representado en la figura 1 dista un D1 R2 R3 R5 R4 C3 C4 poco del mostrado en la hoja de datos [1]. Resulta * * * * interesante el hecho de que no haga falta un con- 100n 100n densador de buffer a la salida. El LED funciona B240A 120526 - 11 también con corriente continua conmutada. A 330 kHz, o sea, a máxima frecuencia, tampoco nos percataremos del parpadeo. Debido a la alta frecuencia la inductancia de la bobina ha de ser Figura 1. de tan sólo 68 µH, lo cual además de permitir Datos técnicos Circuito del driver universal utilizar modelos muy compactos, debido a su baja Driver universal para LEDs de potencia para LEDs de potencia. resistencia también limita las pérdidas. • Funcionamiento con LEDs de 1, 3 y 4 W En la entrada del circuito, junto al condensadores • Capaz de operar con hasta 8 LEDs en serie electrolítico imprescindibles C1, también tene- • Tensión de alimentación de 3,6 a 23 V mos C2 para el desacople. De igual modo, la alta • Corriente de salida máxima de 1 A frecuencia permite que C1 sea muy pequeño, de • Rendimiento con LEDs de 1 W: tan sólo 330 µF. Con un único LED de potencia, –  Uin = 5 V: 81,6 % a la entrada podemos utilizar una tensión de ali- –  Uin = 12 V: 74,7 % mentación de 3,6 a 23 V. En principio también –  Uin = 16 V: 69,2 % es posible aplicar una tensión alterna (por pul- sos): bastará con un transformador y un puente rectificador, y C1 se encargará de filtrarla. Ha de prestarse atención a la tensión máxima, pues mediante una resistencia de 0 Ω (en R6). En tal C1 se cargará mediante el rectificador al valor caso tampoco será necesario C4. de pico de la tensión alterna. El transformador ha de tener una tensión máxima en el secunda- Montaje y posibilidades de rio de 15 V. funcionamiento La masa de la tensión de entrada se encuentra Al montar la placa parecerá que todo está en el pin 1 de JP1. En la salida, el ánodo del LED un poco agobiado en cuanto a espacio (ver está conectado con el pin 2 de JP2. El único pin la figura 2), pero esto no será un problema de JP4 puede utilizarse para encender o apagar mientras ya hayamos soldado alguna vez com- el LED. Si optamos por no montar C4, en este pin ponentes SMD sobre otra placa. En el montaje también podremos alimentar con una señal modu- hemos de tener especial cuidado con la polari- lada en ancho de pulso con niveles TTL. Mediante dad de C1 y D1. También con no rotar 180° los el factor de servicio ajustaremos la luminosidad integrados al soldarlos. Los archivos del diseño del LED. Si no necesitamos ninguna de estas dos de la placa pueden descargarse en [2], gratis opciones, podemos poner el pin 2 de IC1 a VCC como siempre. www.elektor-magazine.es | marzo 2013 | 55 65 V más que en la salida. c a l h a c e r e l datos técnicos del cuadro. requiere un valor por debajo de 2. Para 750 mA es 0. con de tensión de 0. para una iluminación plazo. Como podemos deducir de los con las tensiones de alimentación mínimas en fun- on la posi por partes. fica que aumenta la tensión de Por cierto. sabiendo que cada LEDs blanco necesita 1 A es tan simple como esto: la mencionada caída unos 3. A 350 mA ver cómo difícilmente podemos conectar más basta con dos resistencias. podemos dar por hecho que esto no durará. y por el otro casi nada.2 de cinco LEDs blancos en serie. sino que la caída de tensión en el shunt y en los [1] www.7 V + 0.25 V. más seguro es que por uno de los LEDs circule io de R ei ch elt Elektronik ladores conmutados. El rendimiento será considerablemente [2] www. pued la p la c a versiones de mayor potencia en incluso ocho. Para 350 mA vale viduales conectados internamente. Con los de sión de entrada ha de ser mayor que la suma 1 W la corriente típica es de 350 mA.lt/le http://r algo “normal” en los regu. selecciona- conectarse resistencias SMD en paralelo a modo de mos una tensión de entrada de un poco más de shunt . Para las dos seis LEDs rojos no suponen ningún problema. la ción del número de LEDs y su color sirve de guía r e l c a rr o d e la compra eficiencia disminuye a medida a la hora de hacer nuestros propios montajes.elektor-magazine. una de 1. DO-214AA (SMB) R2 = 1Ω2 * IC1 = TS19377CS.taiwansemi. o bien las tradicionales del tipo 1206. nentes de e st s lo s c o m p o e n con una de 220 Ω.6 A. en los de 3 W de la tensión de salida más la caída en el shunt. La tabla s en u ser adquirido b ili d a d d e m odi. el R4. o R1 necesitaremos 470 Ω.25 V cae en cuatro resistencias dichos 12 V a la entrada tendremos sólo unos de 1 Ω conectadas en paralelo.5 Ω.8 Ω. mayor. la corriente. ¿ v e r d a d ? debe adaptarse de acuerdo con cemos módulos de LEDs con más ejemplares indi- Interesan e k it . ele- vando así la tensión de salida. RM 2. A largo Un servic . Placa # 120526-1 ejemplo el Panasonic EEEFK1V331AP) 1206 * * ver texto 56 | marzo 2013 | www.7 V. 0Ω24. lo d - ch. E Todo n e cesaria.com/home/en/products/ diodos del puente rectificador afectarán en menor product_info. normalmente es de 750 mA y en los de 4 W LEDs Con estas conclusiones podemos ver que para está pensado que sea de 1 A.elektor-magazine. electrolítico.•Proyectos ¡Nunca debemos conectar los LEDs en paralelo! El driver para LEDs de potencia es útil para ejem. Para 12 V.35 y 1 A. SO8 (por ej.Disfrutar ello. pues la tensión Ω en paralelo con otra de 1. De esta manera Enlaces no sólo mejoramos la eficiencia total del circuito. un diseño bastante compacto. nunca debemos conectar LEDs en para- pedido en d r i v e r entrada. En R2 a R5 pueden una serie de tres LEDs en la salida. También podemos suficiente con 1 x 1 Ω más 3 x 1. en Reichelt) R3 = 1Ω8 * Figura 2. No obstante. R ec ib ir . cerámicos (X7R). SMD. Por ejemplo. Encargar eficiente interesa conectar (120526) muchos LEDs en serie. pues cada LED rojo en la práctica incluyendo n m is m o pack. SMD (por ejem- Valores para 350 mA plo el Würth 74456168 o el Fastron + PISM-680M-04) Resistencias: (todas 1206) Semiconductores: - R1 = 220 Ω * D1 = B240-13-F. Este fenómeno es lelo. R1 también También hemos de fijarnos en caso de que utili- t e .es . (por C2 a C4= 100 nF/50 V. JP2 = conector tipo pin-header de 2x1. y por mucha corriente. R5 = elminado * Varios: driver universal para LEDs de potencia tiene R6 = 100 kΩ JP1.php?partid=TS19377CS medida.54 mm Condensadores: JP4 = pin individual C1 = 330 µF/35 V. de entrada necesaria sería demasiado reducida.es/120526 Lista de materiales Inductancias: L1 = 68 µH/1. pues debido a las tolerancias de fábrica. obteniendo 347 mA.5 V. hay una limitación: la ten- plares con corrientes entre 0. Debido a los componentes SMD. Teniendo 3 x 3. com .cc-webshop. and Testing • Post Simulation and more! www. Okay. $ 45. such as this new book. maybe not easy. designing a microprocessor can be easy. Verification. but certainly less complicated.00 Monte demonstrates how Verilog hardware description language (HDL) enables you to depict. simulate. and synthesize an electronic design so you can reduce your workload and increase productivity. Microprocessor Design Using Verilog HDL With the right tools. Monte Dalrymple has taken his years of experience designing embedded architecture and microprocessors and compiled his knowledge into one comprehensive guide to processor design in the Yours for just real world. Microprocessor Design Using Verilog HDL will provide you with information about: • Verilog HDL Review • Verilog Coding Style • Design Work • Microarchitecture • Writing in Verilog • Debugging. Si la posición ejemplo. modo continuo on. se comportará de otra si el sensor aún detecta que es “de día”. como al finali- rápidamente el funcio. y tras el corres. fiesta. se encenderá únicamente si hay oscuridad y presentaciones a cole. Este artículo muestra cómo gracias a la ayuda de diagramas de estado pueden realizarse programas robustos con menos bugs. evModeChange [mode==ON] entry /lightOn(). La reacción ante tales namiento. noche/día mas de estado es que • Un ascensor responde de distinta manera a la podemos comprender llamada desde otro piso estando detenido con la Pero también a eventos internos. por ejemplo: del sistema. modo continuo off) Uno de los puntos Algunos ejemplos: • Detecta una persona fuertes de los diagra. • Un interruptor crepuscular reacciona ante la se detecta una persona. La respuesta a tales eventos Consideremos ahora el ejemplo del interruptor no siempre es la misma.•Proyectos Programa como un “pro” Menos bugs utilizando diagramas de estado Peter Müller (Alemania) Los diagramas de estado proceden originalmente del mundo del desarrollo de hardware. estado inicial. Esto resulta espe. [mode==ON && retVal==0] evModeChange evModeChange [mode==AUTO] [mode==ON] [mode==AUTO && retVal==0] ModoCrepuscular evModeChange [mode==OFF] entry /initSensor(). y cada vez se utilizan más en el del software.).11 interruptor crepuscular. gente dependiendo de la luminosidad y el modo mentación. etc. se utiliza en multitud de puertas. Y esta lista sigue y sigue. que si está en funcionamiento. zar un tiempo de espera. el interruptor se encontrará en el de funcionamiento (luz continua.elektor-magazine. sino que depende del crepuscular con sensor de movimiento. cionemos primero el producto. dispositivo como una mera “máquina de esta- mente los estados de un sistema. seleccionada está vacía. el paso de una persona es ignorado para realizar revisio. Y si no dispone de cambio. o forma. Figura 1. cialmente interesante ya que muchos dispositi- vos han de reaccionar ante multitud de eventos Ejemplo externos o internos. Tras encender la ali- gas y clientes. y por otro los dos” nos daremos cuenta de la importancia de cambios entre dichos estados. • Cambio entre día/noche. No es posi- seamos programado. Reacciona a sitivo. eventos es encender o apagar la luz. Como su propio nombre indica. evModeChange [else] / setStatus(ERROR). entry /lightOff(). ble realizar todas las interacciones a la vez: por res. comprender reacción actual. puerta cerrada. ya que estado actual en el que se encuentre el dispo. Init ModoContinuoOn evModeChange [mode==OFF] ModoContinuoOff entry /retVal = hwSelftest(). exit /disableSensor(). que los acontecimientos Fáciles de anteriores tienen una influencia directa sobre la • Selección del modo de funcionamiento (auto. Esto significa que depende de la historia eventos externos. y son muy útiles pondiente pago lo expenderá. evModeChange [mode==AUTO] Modelo simplificado de un 091051 . es decir. Los estados posibles a partir de 58 | marzo 2013 | www.es . La luz nes del software. mediante un dia. este método. Una vez veamos el grama de estado podemos representar clara. de otra. aunque no • Una máquina de bebidas necesitará que selec. evDay AnochecerDetectado evNoPerson / lightOff(). La máquina de la figura 1 es • abandonar el estado (“onExit”) y lineal. la que puede encontrarse un dispositivo o compo. Las acciones posibles son: Aumentando la complejidad Las máquinas de estado pueden ser jerárqui- • saltar a otro estado (“onEntry”) cas o lineales. code” del estado objetivo (el siguiente). state diagram). evNoPerson / delayCnt=0. evModeChange nente de software. evModeChange [mode==OFF] entry /initSensor().es | marzo 2013 | 59 . do /delayCnt++. microcontroladores Estado inicial (Init State): Fijado por defecto. Si ampliásemos la complejidad www. Tras el arranque. ha representado con un pequeño círculo y una Una transición también puede conducir a una flecha unida a él. Figura 2. EsperarAnochecer nada entre dos o más transiciones. llamada choice (represen. este punto pueden representarse mediante un Al cambiar el estado siempre se comprueba pri- Diagrama detallado del diagrama de estado (en inglés state chart o mero el “exit-code” del estado origen y el “entry. Transición interna: Las llamadas “transiciones in- ternas” no suponen abandonar el estado actual. Los estados (states) se mues. Transición: Una transition (transición) conecta un evPerson [delayCnt==PD_DELAY] /delayCnt==0. entry /lightOff(). que el interruptor ejecuta una rutina de auto- deadas. Esta elección se hace en función de un determinado guard. Éstos se conectan mediante flechas en comprobación tras saltar al estado “Init”. PersonaDetectada [delayCnt==ON_DELAY] EsperarDeteccionPersona entry /lightOn(). puede derivar en tres posibles estados. del dispositivo tras tomar la ruta “else” en choice tada como un rombo) que dependiendo de guard está marcado como “Error”.12 estado con el siguiente. evModeChange [mode==AUTO] Selección (choice state): Una transición seleccio. se ejecuta ningún código de entrada o salida. se trata del primer estado activo tras la inicialización. la máquina pasará bien al estado para el interruptor crepuscular. [mode==ON && retVal==0] múltiples subestados.elektor-magazine. Una transición puede invo- lucrar una condición (guard) y/u otras acciones. Tras ejecutar correctamente la auto-comproba- La figura 1 muestra un sencillo diagrama de ción (retVal == 0). modo crepuscular. evNight / delayCnt=0. evModeChange evModeChange [mode==AUTO] [mode==ON] [mode==AUTO && retVal==0] Estado final (Final State): Estado en el que ya no ModoCrepuscular se procesan más eventos. rre algún fallo. Un estado puede tener a su vez [else] / setStatus(ERROR). El estado estado de “modo crepuscular” o “modo continuo al que saltará la máquina tras la inicialización se off”. el dispositivo acción (también a la ejecución de un determi- saltará al estado “Init”. Desde dicho estado se nado código): en el ejemplo de arriba. ya que ningún estado tiene a su vez • permanecer en el estado actual (“do”) sub-estados. El texto de la transición indica qué evento “else” en choice). exit /disableSensor(). minada condición (guard). De modo tran como rectángulos con las esquinas redon. Tras el cambio (trigger) entre el estado inicial y el el estado final no se dan más transiciones. el estado dará una transición. en función de la variable “mode”. evModeChange [mode==ON] entry /lightOn(). se notificará al usuario (la ruta tion). El círculo con el lazo interior (event) ha de acontecer para que se produzca negro simboliza el estado final (Finalstate). no evPerson [delayCnt<PD_DELAY] /delayCnt++. El hecho de que se produzca significa que a partir de aquí se ignoran todos un cambio podría estar limitado por una deter. lo que siguiente estado. Si ocu- caso de que sea posible una transición (transi. Init ModoContinuoOn evModeChange [mode==OFF] ModoContinuoOff Estado: Un estado es una determinada situación en entry /retVal = hwSelftest(). los eventos. 091051 . cada vez que se estados del diagrama de la figura 2. El Normalmente los diagramas de estado se diseñan evento “evModeChange” sólo puede dar como bien enteros. La represen- detecte el paso de una persona.•Proyectos del estado “modo crepuscular”. ¿Tiene sentido así. Por ello. no obstante tendremos que ceñirnos a ciertas nar primero a los eventos dentro de él. o estamos pasando por alto viene la implementación de nuestra “máquina alguna otra acción? de estados”. hacia fuera. queremos realizar cambios. viales a mano es siempre ardua tarea. que tado la máquina de la figura 2 basándonos en pueden ser milisegundos. Al saltar al estado “modo crepus. tienen los eventos que puedan darse dentro De modo que ahora es el momento de utilizar del estado actual. 1. Esta ventaja es algo que sin duda resultado una transición al “modo crepuscular”. una estructura de tipo switch/case. lo cual y posteriormente se apagará si no vuelve a es completamente normal. ver cómo tiene multitud de excepciones. Si no fuera así. “evNight”. La figura 2 muestra la de estados. en ella se listan los máquina de la figura 1 más refinada. Al saltar del “modo continuo on” al Implementación “modo crepuscular” la luz está ya encendida. En los complejidad.elektor-magazine. Y en concreto si luego intermedio. dos. que la luz primero debe apagarse siempre tras cada caso. con mayor estados hacia la derecha y hacia abajo. ésta saltará al estado “anochecer La figura 3 muestra un extracto de la tabla de detectado”. en el estado “en espera” el evento saltan a la vista ciertos detalles difíciles de apre. Se olvidan duzca el siguiente. Podemos necerá encendida durante un tiempo definido. antes reglas en el diseño. la Al revisar el diseño es recomendable ir punto a luz se queda encendida durante un tiempo de punto y examinar sistemáticamente qué eventos. He aquí algunos ejemplos: que al chance. apreciaremos muy rápidamente. En el documento online [1] podemos minados eventos. la luz perma. o se realizan acciones una cola de espera (Eventqueue) como buffer en el lugar equivocado. Es posible que no nos percatemos de hemos olvidado de alguna de las transiciones. Echando un vistazo a la máquina de estados Por ejemplo. Para que la ejecución sea correcta hemos de asegurarnos de que el evento actual se ha La implementación de diagramas de estado no tri- procesado por completo antes de que se pro. hasta dar con la capa superior. Por ello. Tras el diseño. la prioridad la difícil detectar los errores. Los estados deben tener nombres únicos Tabla de estados 2. finidas son por definición eventos que se ignoran. vamos saltando jerárquicamente máticamente a partir de un diagrama de estado. A partir de ahora. y opcionalmente una revisión. todo estado debe reaccio. tación de dicha tabla es bastante clara. Las reacciones se producen encontrar un listado en el que se ha implemen- en un tiempo definido para cada sistema. La idea básica código. una represen. entraremos en el sub-estado inicial “a la nes que provocan los correspondientes cambios espera”. pero también segun. se utiliza transiciones con facilidad. externos. Si aquí no se va a procesar una herramienta capaz de generar código auto- ningún evento. Procesado de eventos Los diagramas de estado reaccionan ante deter. resulta especialmente En los diagramas jerárquicos. espera si no se detecta ninguna otra persona acciones. pues el diseño una vez ya se han “consumido” los sub-estados siempre se corresponde perfectamente con el internos (aquí no es el caso). obtendríamos tación alternativa consiste en utilizar una tabla una máquina jerárquica. Las transiciones inde- pasar nadie más. Si en la máquina se detecta el evento de estado. puntos intermedios se introducen las condicio- cular”. Por ejemplo. Para generar un código ejecutable.es . “evPerson” no se procesa. y esto nos ahorrará un montón de trabajo es que los sub-estados internos afectan a los programando. ciar a la primera en el código. Los estados han de tener nombres válidos La representación de los diagramas de estado en el lenguaje en el que se hayan generado puede convertirse en una difícil tarea cuando se (por ejemplo en C no pueden tener espacios manejan muchos estados. ni comenzar por un número) 60 | marzo 2013 | www. Aquí normalmente se utiliza una estructura del tipo switch/case. Por ejemplo. o guards existen y si por casualidad nos después. Además cia artificial. como por ejemplo: Quien quiera ampliar sus conocimientos en dia- gramas de estado.org y los eventos esperados. Un segundo ejemplo lo pode. ¿Existe un trigger para cada transición? automáticamente gracias a la versión demo de Sinelabore [5]. hemos de mencionar la herra- 2. Muchas de estas reglas ya son comprobadas auto. ¿hemos definido un estado mienta open source ARGO UML [4]. figura 2 a modo de tabla de estados (extracto). mos ver en el pequeño robot móvil ASURO [2]. (091051) titud de errores de diseño. también podemos realizar pruebas adicionales. microcontroladores Modo Modo ModoCrepuscular Init FINAL_0 ContinuoOff ContinuoOn EsperarAnochecer AnochecerDetectado PersonaDetectada Esperar DeteccionPersona ModoContinuoOff evModeChange evModeChange [mode==OFF] [mode==OFF] ModoContinuoOn evModeChange evModeChange evModeChange [mode==ON] [mode==ON] [mode==ON && retVal==0] ModoCrepuscular evModeChange evModeChange evModeChange [mode==AUTO] [mode==AUTO] [mode==AUTO && retVal==0] EsperarAnochecer evDay AnochecerDetectado evNight evNoPerson evNoPerson evPerson [delayCnt<PD_DELAY] PersonaDetectada evPerson [delayCnt==PD_DELAY] EsperarDeteccionPersona [delayCnt==ON_DELAY] Init FINAL_0 evModeChange[else] 091051 .com www.com/watch?v=pIpuR_ código se está ejecutando y si la máquina se LlwY4&feature=player_embedded comporta como queremos.es/091051 simulados. podemos mostrar en un cuadro de texto el estado actual [4] http://argouml.sinelabore. puede utilizar una de las 1.13 3.es | marzo 2013 | 61 . El siguiente paso es [3] http://de. En particular. Representación del 4. Esto permite evitar y detectar rápidamente mul. Huelga decir que la mayoría de generadores de código ofrecen aparte otras herramientas para la depuración y solución de problemas. de esta manera podemos ver qué [2] www. Las transiciones Enlaces entre estados se “disparan” gracias a eventos [1] www. Las acciones han de ser llamadas a funciones En el documento online [1] podemos Figura 3. [5] www. herramientas UML (Unified Modeling Language ten “puntos muertos”? [3]). Los guards siempre deben evaluarse como tra cuenta una función de este tipo.elektor-magazine.youtube. que realiza diagrama de estados de la verdaderos o falsos un llamado Tracing. En el caso más simple.elektor-magazine. ¿Pueden alcanzarse todos los estados o exis. o código válido encontrar un ejemplo de cómo codificar por nues. Si fuera necesario. Simulación y depuración A la hora de diseñar máquinas de estado es muy útil poder simular el modelo.org/wiki/ comprobar si la máquina puede utilizarse en un Unified_Modeling_Language dispositivo real. en el cual podemos implementar cierta inteligen- máticamente por el generador de código. Podemos inicial? dar nuestros primeros pasos generando código 3.wikipedia.tigris. según se elija. otro lado. y fiable. En el primer caso el a la utilización del Sensirion SHT75. Esta peti- encuentra en una placa SMD). Por otra parte: el la temperatura el doble de tiempo que el de la SHT15. es compatible. a pesar de display muestra alternativamente la tempera- su alto precio. el contro- El componente más importante de este circuito lador guarda este valor. Se optó por mostrar precisa y es fácil de controlar. la técnica de este termómetro-higrómetro PIC por medio de resistencias. cuito con este extensor resulta ser muy robusto se habrá unido lo gracioso con lo útil. pero se ha intentado hacer un continuamente el potenciómetro P1 y determina diseño algo más original. pero en la práctica el cir- además la temperatura y la humedad del aire. ción se efectúa con un interruptor de palmada Se ha utilizado el controlador PIC18F14K50. aparte de la temperatura. La segunda opción es mostrar se encuentra en otro encapsulado (el módulo se la humedad del aire solo ‘a petición’. Probablemente todo aficionado honesto haya funciona con su propio reloj de 8 MHz.•Proyectos Termolibro Mide la temperatura y la humedad del aire Willem Tak (Holanda) ¿Un termómetro otra vez? No. Este sensor mide de forma muy tura y la humedad del aire. que que está construido alrededor de T1 y T2. IC2. de 7 segmentos. Por que aquella extraña gallina en una fila de la libre.es . la temperatura o la humedad del aire y su conmutación puede ser automática. sistores T3 hasta T5 proporcionan los pulsos de pero los termómetros también puntúan muy alto los ánodos comunes de los tres displays de LED en el top 10 de los diseños de electrónica. transcurrido un tiempo fijo. a un libro. La práctica ha demostrado líneas a través un extensor I2C aparte. Los tran- construido un reloj en algún momento de su vida. el SHT75 puede Hardware medir también la humedad del aire. Con 62 | marzo 2013 | www. El controlador lee no es desafiante.elektor-magazine. también se ha optado por una encapsulado original! El dis- play de este termolibro puede mos- trar. pero humedad del aire. Hay dos métodos para (ver figura 1) es el sensor. ¡en este diseño no sólo se ha ampliado la funcionalidad. o ‘manual’ dando una palmada desde una distancia. Los datos para los displays de ¿Por qué añadir otro más a esta larga lista? LED provienen directamente de un puerto del Bueno. así que puede ocupar un sitio en la El PIC controla el SHT75 utilizando un par de librería perfectamente. El autor es un adicto mostrar los datos medidos. algo más barato. Y si esa cosa muestra omitir si lo deseas). no se necesita este integrado (se puede ría invita a una sonrisa. Como. El termolibro se parece la claridad de los displays de LED. IC4 (NE555) generará un pulso consumo es menor de 100 mA. Este circuito mide la que obligue al PIC a mostrar la humedad del temperatura y la humedad aire durante 4 s. La determinación ción. puede regular la intensidad del display. Quien quiera programarlo por sí cio. del valor resta a continuación. El conector ICSP (K1) está presente para poder LDD cycle que proporciona los datos al display programar el microcontrolador en la placa. vés del convertidor A/D se lee el valor analógico en el resto de los casos hay que poner un puente del potenciómetro P1 y este valor determina la sobre JP1 y JP2. Por supuesto que en Elektor intensidad. el control del display de LED. Con ellos se PICkit. El estabilizador el auto-calentamiento del chip. y dispone de un interruptor de palmada elegir entre cuál de los dos modos de represen. Durante el proceso el display muestra unas del valor H (humedad del aire) sí se hace a través rayas subiendo. se puede pedir un controlador pre-programado El bucle principal da primero un comando de ini- (120629-41). una ‘palmada’. Hay una rutina representación. JP1 y JP2 tienen que estar abiertos. El controlador se formato Sensirion) en valores para el display. El mayor consumidor de tiempo del software es para la conmutación de la tación está activo. Entre el inicio y la lectura hay un Un adaptador de red proporciona una tensión que tiempo de reposo que es necesario para evitar puede estar entre los 7 y 12 V. a continuación se ejecutan 2 ciclos de display mismo.elektor-magazine. Si se detecta IC3 lo convierte en una tensión de 5 V limpia. A tra- gramación.5 s. www. de una tabla. durante unos 0. puede descargar gratuitamente el código y después se lee el valor de la medición.es | marzo 2013 | 63 . 150R f f f 5 PGC 16 R19 G 7 8 G 1 1 1 RC0/AN4/C12IN1+/INT0/VREF+ 150R g g g 6 12 3 VSS HDSP-315 HDSP-315 HDSP-315 +5V 20 ICSP JP1 120629 . Los tiempos de conexión y des conectarle. la T (temperatura) no se han utilizado tablas pero ces comienza el proceso de inicialización. En este se ha aplicado un divisor de 100 con la definición proceso se obtienen los primeros datos de medi. por ejemplo. termolibro +5V +5V P1 T3 C2 R4 R5 R10 R11 4k7 R6 T4 100n 3x 63V BC557 2k2 2k2 4k7 4k7 4k7 R7 T5 8 1 17 MOD1 K5 K6 VCC VDD VUSB 4k7 1 1 1 2 3 2 13 R8 K3 K4 SCK LX SX RA5/OSC1/CLKIN RB4/AN10/SDI/SDA 2 2 2 IC2 12 3 12 VDD RB5/AN11/RX/DT 4k7 1 5 CA3 3 3 3 NC NC 11 10 5 CA3 GND IC1 RB6/SCK/SCL P82B715PN CA2 4 4 4 7 6 3 10 5 10 CA2 DATA LY SY RA4/AN3/OSC2/CLKOUT RB7/TX/CK CA1 4 11 CA1 GND SHT75 11 4 LD1 8 3 LD2 8 3 LD3 8 3 4 PIC18F14K50-I/P +5V 9 R12 DP 14 1 DP 6 CC CC 6 CC CC 6 CC CC RC7/AN9/SDO/T1OSCO 150R dp dp dp 8 R13 A 8 7 A 10 10 10 RC6/AN8/SS/T13CKI/T1OSCI 150R a a a K1 5 R14 B 9 6 B 9 9 9 RC5/CCP1/P1A/T0CKI 150R b b b 1 MCLR 4 6 R15 C 13 2 C 7 7 7 RA3/MCLR/VPP RC4/P1B/C12OUT/SRQ 150R c c c 2 S1 7 R16 D 2 13 D 5 5 5 JP2 1 RC3/AN7/P1C/C12IN3-/PGM 150R d d d 3 18 14 R17 E 1 14 E 4 4 4 RA1/D-/PGC RC2/AN6/P1D/C12IN2-/CVREF/INT2 150R e e e 4 PGD 19 15 R18 F 6 9 F 2 2 2 RA0/D+/PGD RC1/AN5/C12IN1-/INT1/VREF. damente hay que convertir el valor (obtenido en No hay un interruptor de reset. un programador desconexión pueden ser diferentes. En caso de utilizar este conector de pro.11 R3 P2 R9 R2 R1 8 4 1 2k2 4k7 10k 47k VCC R 100k 2 IC4 K2 2 IC3 TR T1 7 3 7805 +5V DIS OUT 3 6 THR C1 10n T2 NE555 BC547 GND CV 1 C4 C3 MIC1 1 5 1 63V C5 BC547 33u 33u 25V 25V 2 33u 25V P2 se puede ajustar la sensibilidad. Con el interruptor S1 se puede Software del aire. Pue. El Figura 1. Segui- fuente y hex de nuestro sitio web [1]. Para resetea con la tensión de alimentación y enton. T5 = BC557B también se puede separar de la placa principal. Si se pegan se puede impri- mir fácilmente una tapa con el ‘titulo’ deseado del libro.C5 = 33 µF/25 V radial.5 mm 2. P1 = 4k7 potenciómetro de ajuste. HDSP-315L (Farnell 1241274) falta montar los conectores K3 y K4. ver texto) Sobre el ensamblaje de la placa no hay mucho K5. MKT. de modo que el display pueda ser montado proyecto en el sitio web de Elektor. paso 2. K4. Para el ejemplar construido en nuestro labora- torio hemos desmontado la tapa dura de un libro viejo.LABS [2]). Si se quiere. por ejemplo.R11 = 4k7 de modo que después de volver a conmutarse a R12. diámetro El ‘libro’ se puede construir de distintas formas.K6 = conector de 4 vías. en el tiempo T se realiza también una medición 4 4 horizontal P2 = 100 kΩ potenciómetro de ajuste. es mejor ubicar el sensor de tal 64 | marzo 2013 | www. Por lo demás.T4. Además R2 = 10 kΩ durante ese tiempo se prepara un nuevo valor T. Hay que hacer un agujero rectangular en el lomo ción se hace con el interruptor deslizante S1 que y el frente. así que no reacciona inmeditamente. ver texto) taladro pasante que pueden ser fácilmente coloca- MOD1 = sensor de temperatura y humedad Sensirion SHT75 (Farnell dos y soldados.. hay que evitar que la primera lectura H contenga datos de hace horas. JP1 y JP2 tienen que ir provistos 1590514) de un puente durante el uso normal del circuito. con puente (en caso contrario no mide la temperatura y la K1 = conector de 6 vías. anteriormente. Far- nell 9473378) Realización MIC1 = micrófono electret. display de la parte del controlador dependiendo 1 23 gramado. o también puedes deshacer el lomo de un libro viejo que tenga las tapas con las dimen- Figura 2. 9. En caso de registrarse. DIP-8 (TI) K3 y K4. paso 5 mm Placa C3. Si..T2 = BC547C La parte de la placa con la conexión para el sensor 1 T3.es . en otro sitio. de modo que los displays LED que- sólo se comprueba después de terminar un ciclo pan perfectamente. se puede separar la parte del IC1 = PIC18F14K50-I/P.1 mm (Di. 1 1 R3. Luego estas partes IC2 = P82B715PN. mutación automática o modo palmada). DIP-8 (NXP) IC3 = MC7805CTG. sólo se han utilizado componentes de mm (opcional. paso 2. DIP-20 (pro. TO-220 (On se interconectan con un trozo de cable plano de Semiconductor) 14 hilos y dos conectores prensados a través de 6 IC4 = NE555P.LD3 = display de 7 segmen- tos. H. 1 Condensadores: 2 C1 = 10 nF.54 que decir.elektor-magazine. tan la placa de sensor y la placa principal con un gikey CP-102A-ND) trozo de cable plano de cuatro hilos. paso 2. La selec. EPS 120629-41) de la construcción del ‘libro’.C4.54 mm (opcional. MKT.K5 = conector de 2x7 vías.7 mm (Farnell 1736563) El autor construyó el prototipo con 5 placas de Placa 120629-1.JP2 = Conector de 2 vías. esté directamente disponible. paso 5 mm 3 C2 = 100 nF. S1 = conmutador unipolar (por ejem- plo.LD2. se puede adquirir a través de [1]) para el cir- 32 1 Semiconductores: cuito. ver [1] plexiglás de 5 mm.R5 = 2k2 R6.54 mm K2 = conector de alimentación para humedad correcta). paso 2.•Proyectos En el modo de palmada se comprueba la entrada Lista de materiales que registra la palmada después de cada ciclo Resistencias: LDD. Multicomp 1MS1T1B5M1QE. También se puede separar la parte del sensor..R19 = 150 Ω T. Varios: de modo que se pueda colocar el sensor sobre- JP1. Si esto no fuese necesario. no haría LD1. paso Se ha diseñado una placa larga y delgada (figura 2. paso 2. siones correctas (ver también las fotos de este La placa se puede dividir en dos partes.. durante mucho tiempo no horizontal se ha dado una palmada.54 saliendo un poco en la parte superior del libro mm.R4. se inicia un bucle R1 = 47 kΩ que muestra durante unos 4 s el valor H. Tal y como se ha comentado (unos 3 s). los que se atornilla la placa (ver foto cabecera). en la cual hemos pegado dos aros sobre Hay 2 bucles principales para los 2 modos (con. T1. En ese caso se interconec- montaje en placa. html Publicidad Android Apps SUPER- VENTAS Programación paso a paso Cuando se trata de personalizar tu smartphone no deberías sentir- te limitado por las aplicaciones que haya en la plataforma. ya que crear tus propias apps y programar dispositivos Android es más fácil de lo que piensas.elektor.elektor-labs. También hay que tener en cuenta que S1 (selección de la representa- ción) siga siendo accesible construyendo uno de los paneles laterales con bisagras o colocando el interruptor en la parte trasera. Lo mejor es el efecto que tiene el termolibro sobre los visitantes. dedícale el tiempo necesario y hazlo lo más bonito posi- ble. Se presenta una amplia variedad de aplicaciones basadas en buen número de ejemplos prácticos.12410. lectura de sensores y datos GPS.com/project/ thermobook.es/libros www. con el objetivo de mostrar como se pueden programar aplicaciones personales.es/120629 [2] www. este libro explica también cómo se pueden programar aplicaciones empleando Javascript o scripts PHP.es | marzo 2013 | 65 .elektor. desde programas de matemática simple.95 € GREEN Más informacíon y pedidos en www.elektor-magazine. El funciona- miento del sistema Android se explica paso a paso. Este libro (en inglés) es una introducción a la programación de apps para dispositivos Android. (120629) Enlaces web [1] www. Además de escribir apli- caciones en el lenguaje de programación Java. termolibro manera que sobresalga un poco del libro. -10% par a ISBN 978-1-907920-15-8 miembros 244 páginas • 39. En la parte trasera hay que hacer un agujero para pasar el cable de alimentación. Este circuito depende de su acabado. hasta programación avanzada para aplicaciones de Internet. Tan viejo como el Internet Por invitación de la antigua Presidente de la Cámara Tessel: ¿Son los datos libres un fenómeno nuevo? baja Gerdi Verbeet. Network Democratie en cola- Mieke: La interconexión de archivos es tan anti. danos a la hora de seguir el proceso democrático. un grupo maratón en el que los programadores construyeron variado de personas comprendido por programa. ubican estos datos en un sitio accesible con un nizó. fáciles de encontrar y presentados en un formato de lectura fácil por una máquina. programadores. los datos en un formato legible por una máquina permite la creación de aplicaciones. pero se publi- nuevas aplicaciones para un sistema existente”. en colaboración con las organizaciones Hack formato útil. es una bonita Josien: Se trata de datos públicos. apertura al público de datos públicos. Lo bonito es Mieke: Un hackatón en el edificio mismo del Con- que ahora ves que los datos libres se utilizan cada greso es algo único en el mundo. dores. El se pone mucha atención es en los datos libres y la evento tuvo lugar en el Congreso y consistió en un democracia. Publicando se vinculó a esta palabra. Mark Bastiaans cimientos o establecer nuevas relaciones. imagen. Muestra el coraje de la Cámara Baja al dos con fondos públicos o que tratan del público. Con él hablé sobre datos libres y cómo con. mación que puede ser interesante para los ciuda- taria. caba en formato PDF. Vinieron a petición de la Presidenta saliente de la Cámara Baja Gerdi Verbeet. el evento Apps voor Democratie de datos.•Tech the Future Datos libres: Hackear la democracia Tessel Renzenbrink Un grupo de hackers. boración con otras organizaciones. tribuye al diseño de la democracia en el siglo XXI. La fundación orga. Ver hackear a día más. porque todos hemos contribuido a su reco- fundadoras y directoras de Netwerk Democratie lección. y también las empresas. Abrir la base de datos parlamentaria desa de Investigación de Ciencia Aplicada) (TNO Josien: La apertura de la base de datos parlamen- [3]). por lo que se pueden crear nuevos cono- (Apps para la Democracia) [2]. Son gratuitos. del término hackear: “Crear con ingeniosidad Esta información ya era pública. En rea- La idea es que esos datos son propiedad de todo el lidad en Holanda los hackers son muy mal tra- 66 | marzo 2013 | www. Estos datos son libres en el momento que (Democracia de las Redes) [1].elektor-magazine. Contiene infor- aplicación basándose en la base de datos parlamen. Datos genera. organizó ‘Apps gua como es el Internet. de innovaciones democráticas. Mieke van Heesewijk y Josien Pieterse son ambas mundo. Y eso no sirve de mucho Aquí no nos referimos a la asociación de redes para un desarrollador. Pero donde actualmente voor Democratie’ el 8 de septiembre del 2012. TNO y activistas. es investigador en Netherlands Organisation for Applied Scientific Research (Organización Holan. universidades. Desde hace mucho tiempo. una plataforma la administración pública. defiende la Además de eso había talleres sobre datos libres. porque los datos sólo se con intrusión no-autorizada con la que más tarde pueden extraer con mucho esfuerzo. libres de copyright. programadores en la Cámara Baja. Construyó con un equipo de compañeros una taria Parlis es un buen ejemplo. El motivo de la reunión era que en el futuro la base de datos parlamentaria Parlis estaría disponible con datos libres. aplicaciones que accedían a la base de datos Parlis.es . también por el estado y las empresas. abrir las puertas de su casa a hackers. mociones y preguntas en la Cámara. como es el comportamiento de las votaciones de En este artículo se utiliza el significado original los partidos. Datos libres son datos que están disponibles libremente y que pueden ser reutilizados por todo el mundo. Lo que es especialmente interesante. de Overheid (Hackear el Estado) y Open State es que se puedan hacer combinaciones de flujos (Estado Abierto). investigadores y legisladores se reunieron el (redacción de Elektor TTF) 8 de septiembre de 2012 en el edificio de la Cámara Baja del Parlamento holandés con el objetivo de hackear la base de datos parlamentaria. En realidad es una imagen. relacionada con una terísticas mínimas que tienen que cumplir los columna en otra. fican habrá pasado un Los datos con cinco estrellas son mejores para un buen rato. pero esto es bastante tamente las relaciones complicado y no ofrece una gran precisión. trario los desarrolladores abandonan. Entonces puedes ver de un vistazo que parlamen- tario ha presentado el mayor número de mociones. las relaciones entre los datos estén claras. Mientras que es justo en esta fase donde también es importante que los hacker éticos pueden prestar un gran servi. nos costó de caracteres (OCR) para obtener los caracte. www. posible. Añadimos una fuente propia con la que puedes ver qué palabras se utilizan frecuentemente en los medios en relación con un determinado parla- mentario. Sin tendrás que emplear el reconocimiento óptico embargo. creó un esquema de cinco estrellas para datos libres. en caso con- medios es más fácil si los datos pueden ser leí. Afortunada- desarrollador que los datos con una única estrella. Tim Berners-Lee. bastante trabajo detec- res individuales.elektor-magazine. inventor de la World Wide Web. Se concede una estrella a las carac. Durante número de tablas de la base el hackatón creamos una aplicación con ellos. La idea dos por comas. Desde hace mucho tiempo se tivesco. la base de datos En principio. lo que mentarios en otras composiciones de la Cámara significa que una columna Baja basándonos en determinados parámetros. tam- de código abierto. puedes extraer vino con documenta- información de datos no-estructurados analizando ción describiendo exac- automáticamente los datos. es que hay que tener a esa gente lo más lejos Para mí. como es una seguro que encontrarán otro dataset. de modo que puedan ser utilizados por un desarrollador? Mark: Eso depende del tipo de desarrollador que seas. como desarrollador. puedes ordenar por experiencia o por el número de mociones presentadas y aprobadas. pero para los desarrolladores bién debes suministrar metadata. que puedes añadir información de una fuente a otra. que la semántica y hackatón en la Cámara Baja marca una inflexión. como desarrollador. esté claro lo que significan cio en el área de la transparencia y seguridad. hackear la democracia tados y ese mismo miedo también se puede ver hoja de Excel o ficheros separa- en algunos partidos en la Cámara Baja. Por en una tabla está ejemplo. así que modelo de datos. Con de datos Parlis. Antes de datos libres: datos no-estructurados publicados que hayas examinado en con una licencia abierta. profundidad estas relacio- llas a datos anotados de tal manera que también nes y hayas entendido lo puedan conectar semánticamente con otros grupos que exactamente signi- de datos. Era una base nuestra herramienta se podía ubicar a los parla. Para la cons- Hackatón trucción de nuestra aplicación Mark: Con un equipo de TNO examinamos cómo recibimos un volcado de un Mieke van Heesewijk podríamos visualizar los datos de Parlis.es | marzo 2013 | 67 . El los datos. Josien Pieterse Tessel: ¿Qué criterios tienen que cumplir los datos libres. Se concede cinco estre. Un y la organización tam- ejemplo de datos no-estructurados es un PDF bién había creado un Mark Bastiaans escaneado. entonces dos directamente por una máquina. Esto es lo bonito de los datos libres. mente. Eso se denomina datos libres enlazados. de datos relacional. Como propie- trabaja con OCR y existen para ello programas tario de los datos. Con ellas puedes ver tam. pero eso no lo tiene ninguna empresa. Así que gente que realmente tiene derechos sobre ella. datos parlamentarios se han sumado al carro. es que el Ministerio de Economía se ha cambio de postura. Las cartas toma de consciencia llega cada vez más al estado. El que sólo quieren publicar datos limpios.es . las adminis. Ahí son muy nológica y la economía del conocimiento. Ahora hay un Holanda. emprendedores es que no puedes publicar ficheros online sucios. dinero con los datos libres. Entendieron que se puede ganar Josien: Por supuesto que es un proceso difícil. Pero no funciona archivos y eso es bueno para la innovación tec- así en el caso de la Cámara Baja. Por eso dificultad para las instituciones. La Josien: Otro argumento es la privacidad.elektor-magazine. Mieke: La duda pertinente de la Cámara Baja es Ves un cambio de mentalidad en el estado. Su punto de vista necesita cada vez más ciudadanos. Se busca cada vez más colaboración en orden. y todo tipo de activistas para construir determi- Primero quieren tener su informatización interna nadas cosas. tales. Y los cuidadosos con las cosas que se publican. simplemente nuevas y bonitas aplicaciones interconectando publícalo y mira lo que pasa’. Cuando se privatizó la empresa nacional Mieke: la privacidad y la suciedad se nombran de correos a lo que actualmente es TNT. Pero en el Internet hay muchas aplicaciones que 68 | marzo 2013 | www.•Tech the Future La aplicación de TNO Un hackatón en el edificio mismo del Congreso es algo único en el mundo Privacidad y ficheros sucios Relaciones cambiadas Tessel: Aunque mucha gente abogaba desde hacía Mieke: Pero la motivación más importante por mucho tiempo por los datos libres. ¿Cómo es esto? adherido a esto. El descubierto las estructuras de poder. legítimos. Se pueden construir Muchos programadores dicen: ‘¡eh!. Ahí está la estado dispone cada vez de menos dinero. ahora esta información no es pública lo cual es Un ejemplo son los ficheros de los códigos pos- una pena. Hace diez años se reunieron muchísimos datos bién qué organizaciones ejercen de lobby y poner al con dinero público encargado a empresas. Pero entonces estado ve ahora que también eso es problemá- tiene que estar claro quien ha enviado la carta lo tico ya que la información está cortada para la cual forma parte de la ley de privacidad. la que finalmente ha empezado a funcionar en traciones públicas eran contrarias. ya que es una información interesante. recibió muchas veces en los ficheros y son argumentos como dote el control sobre los códigos postales. son muy interesantes. con el campo social para llegar a la innovación. Lentamente empezó a comprender que la publicación de la información puede jugar un [1] http://netdem. (120741) nos más posibilidades para estar más implica- Enlaces Web dos. Tessel: ¿Ha cambiado el estado? res tuvieron que pagar gastos de licencias para Mark: Están trabajando en leyes y normativas poder utilizar los datos actuales de los códigos europeas para estimular a los gobiernos a dar los postales. ven muchísimas iniciativas. empezó a comprender que una de código o hackear un poquito. beet también cambió.nl/ papel importante. Pero. Sólo en el área del medio la política. Le parece muy impor. En Bruselas ya sólo negocian sobre la hace más de diez años existe un grupo de lobby realización exacta. [3] www.nl/ a nivel político. Lo tales) y finalmente en 2012 se consiguió liberar único que aún no ha descendido es el polvo. Muchísimos hackers. democracia transparente ofrece a los ciudada. Se la tabla de los códigos postales. Así se ven dos movimientos: a nivel del suelo y tante que los ciudadanos entiendan lo que hace a alto nivel político. ción hacia la que marcha es de arriba abajo.es | marzo 2013 | 69 .elektor-magazine. Josien: Además había otra cosa más. Es un cambio tradicional.nl Publicidad powered by Eurocircuits C B s n u e vas de E lektor escuento e n la s P 25% de d ¡Aprovéchate ahora: Elektor PCB Service ofrece siempre un descuento durante los 90 días posteriores al lanzamiento de las nuevas placas de Elektor! En www. Pero mediante conversaciones con que tiene que surgir en la sociedad y eso tardará gente que tenía datos libres de forma destacada algo más de tiempo que el que lleva teclear algo en su agenda. Una cosa está clara: La direc- ‘Bevrijd De Postcode’ (Libera los Códigos Pos. Los desarrollado. Inicialmente lo consideró bastante quedan todavía cosas por pasar. hackear la democracia funcionan con códigos postales.es/pcb encontrarás todas las PCBs de Elektor www.elektor. entusiastas y técnicos están deseando empezar. ¿de quién son estos datos? Desde datos libres. Gerdi Ver.tno. Por eso se ha hecho fuerte [2] http://appsvoordemocratie. Con las válvulas uno no sólo podría amplificar sino también controlar. regular o rectificar. cido por la empresa editora Kosmos de Stuttgart. En aquella época ya existía la televisión. la radio era una fuente de total fascinación a principios de los años 50. la tecnología de semiconductores estaba aún en su infancia y que la válvula de vacío dominaba la mayoría de circuitos electrónicos. el audio y la radiofrecuencia o en ciernes. que abrió una puerta a Radio en español) proporcionó un método casi 7 los electrónicos de la época para de diversión y de juegos para comprender mejor interesados jóvenes e ingenieros la teoría de ondas. si su inter. por aquel entonces. E incluso. en mi país al menos. Fabricarnos nuestro propio receptor era prácticamente imposible porque. Vale la pena recordar que.es . ofre. y adivino que para muchos otros.elektor-magazine. pero prác- 8 70 | marzo 2013 | www. los distribuidores de compo- nentes por correo eran pocos y bastante lejanos.•Magazine 3 2 1 Kit Audion ‘Radiomann’ (1956) Cuando los juguetes de los niños eran educativos Peter Beil ¿Recordáis vuestra juventud cuando dabais vuestros primeros pasos en el mundo (Alemania) de electrónica? Para mí. o ni para los niños. 9 con este equipo era posible reali- zar un total de 80 experimentos. Radiomann (Radioman en inglés y Técnico de Alemania. la tecnología de las válvulas de vacío (ver Figura faz hombre-máquina visual (ver Figura 1) era un poco austera. El relleno de este hueco del mercado trajo el ticamente no era significativa para los aficionados denominado ‘Juego para el Experimentador’. Originalmente debe- conductor’ con un cristal de galena y una pieza ría haber sido del tipo RE074d de 13 10 11 12 www. hasta para los más en unos agujeros pre-taladrados. Mi válvula de vacío. Todo estaba hecho maravillosa- mente sencillo: el condensador era sólo una pieza de plástico con una pieza de hoja de metal delante y 6 atrás (ver Figura 3). La iniciación en los secretos del tubo de vacío tuvo rimentación de madera que usaba unos clips de lugar sobre la base de ejercicios que eran com- latón en forma de terminales. que zadas a través de un enrejado consistía de dos electrodos y limadura de hierro y/o con un parasol ajustable o . generalmente en un tubo de cristal. este detector! Los experimentos se hacían en una placa de expe. el funcionamiento del ánodo aprendías en el ejemplo de ‘cohesor’ qué induc. esto era un sim. durante los últimos 60 años.es | marzo 2013 | 71 . el flujo de ‘inalámbrica’ (ver Figura 5). De esta forma jóvenes. Así pues. que se colocaban pletamente comprensibles. Retronica XL 4 2). He comprobado el valor de aquella ‘resisten. en consecuencia. Para la gente no electrones en la rejilla se com- familiarizada con el cohesor. posición óptima de la unión (‘punto dulce’) (ver Estaba pintada con la tinta de dibujo negra y Figura 7). tamente el problema inevitable de encontrar la cia’ y era de casi exactamente dos megohmios. y creábamos una resistencia hecha por nosotros 5 de hilo puntiagudo usando una barra de lápiz grueso del alambre. lamentable- En aquel tiempo surgió un ‘detector de cristal’ mente.elektor-magazine. Cerca de un transmisor de onda media ‘ajuste fino’ con un lápiz que marcaba de forma ¡incluso podías controlar un pequeño altavoz con excesiva. Figura 9). experi- en un trozo de tarjeta (ver Figura mentando inmedia- 4). pero no persiana (ver Figura 8). ha estado desaparecida con el realimentación negativa como el ‘dispo. te hacías tu propio ‘semi. El Todo lo que queda es la ilus- diodo de germanio era desconocido por entonces tración en la tapa de caja (ver y. sitivo de recepción’ adecuado (ver Figura 6). este caso. paraba a las bolas de nieve lan- ple indicador de ondas electromagnéticas. (apodado ‘placa’) se comparaba ción había y producías tu primera transmisión con una aspiradora. La válvula de vacío tenía un modelo con una La persona que concibió este y otros ejemplos tensión de filamento poco usual de entre 3.76$ (57$ hoy) más 2. entonces algo común) por unas pilas secas ‘de Durante los años 60. el denominado tubo de rejilla de carga Los experimentos culminaban con la construc- espacial. se opongan. para la válvula. ‘conectado en ya un tubo de rejilla de carga espacial. que requería mucho más esfuerzo por mi que los ingenieros sonrían. de placer. de profesión profesor usada era de unos inofensivos 12 a 20 V.com. Contribuciones. adaptó el conjunto para tecnologías más sofisticadas. en una escuela en el distrito de Lago Constanza. Las historias abarcan equipos de prueba clásicos.es . aún queda mucha información sobre viejo Radiomann en Internet. a miembros Retrónica es una sección mensual que trata GREEN sobre electrónica antigua. aproximada- mente. que se vendía por separado. a pesar se realizaron algunas travesuras. Conectando el tocadiscos de casa cliente (ver Figura 10). podíamos transmitir a nuestro recep- hicimos tetrodo normal con ello invirtiendo las tor. a sus estudiantes con experimentos de clase de El conjunto completo estaba alimentado (por física sencillos. Al parecer también elemento trabajaba sin ningún problema. Como realmente no era (si teníamos uno) y el receptor. La tensión de placa honorario Wilhelm Fröhlich. que propor- aquellos años de la posguerra. Incluso hoy. enviad un “e-mail” a editor@elektor. la editorial Franckh-Kosmos 193 páginas • ISBN 978-1-907920-18-9 • 29. Burkhard Publicidad Kainka.elektor. Radiomann fue actualizado linterna’ de 4.elektor-magazine. aquel tiempo. todo ello con el propósito de hacer tancial. Casual. por Más informacíon y pedidos en www. mente esto sólo era factible porque la segunda Su deseo original fue el de acercar la tecnología rejilla estaba conectada con la tensión de placa. componentes ya olvidados. fue substituido cionaba una selectividad bastante buena (ver por el modelo DM300 diseñado según pedido del Figura 12). Hoy día.•Magazine Telefunken. Posteriormente el conocido escritor de electrónica y colaborador de Elektor. complementarios. sobre una ‘distancia imponente’ de unos conexiones de rejilla.es/retronics favor. ya que este de la tensión de filamento de corriente continua experimento no estaba incluido con los modelos (técnicamente desfavorable). ¡Escribid “Kosmos Radiomann” en vuestro buscador favorito y que- daréis asombrados! (120650) Dibujos originales reproducidos con el amable permiso de la editorial Franckh-Kosmos. los proyecto de Elektor que alcanzaron un gran éxito. Stuttgart. el coste equivalente de aquellos 80 cuentos de la electrónica del pasado años era de 6. se sienten.5 y con el ‘equipo del experimentador’ era el doctor 4 voltios y una doble rejilla. Retronics El modelo describió aquí data de. babeen o experimenten parte. transistor. 72 | marzo 2013 | www.5 V (de carbón-zinc. 1956 y. Fotos del -10% par autor. hábilmente inversa’. IEC 3R12) con una ampliación con un pentodo EF 89 y un (ver Figura 11). pero que me proporcionaba muchas horas el tufillo de la nostalgia. En cadas entre 2004 y 2012. sugerencias y peticiones son bienvenidas. era una cantidad de dinero sus- ordenadores prehistóricos.95 € está aún muy en la vanguardia en materias de NUEVO experimentación y aprendizaje. Una vez que ya no estuvo disponible en ción de un ‘audion’ con reacción. incluyendo diseños legendarios de Elektor. En estas aplicaciones este 3 metros (ver Figura 13). que llega direc- tamente hasta la plataforma del microcontrola- dor Arduino.73$ (23$ hoy) Este libro (en inglés) es una recopilación de 80 entregas de Retrónica publi. ¡Enhorabuena a todos! 4 D 1 7 A 2 0 8 E F 6 9 5 3 C B 2 B 8 5 9 1 F 6 0 3 C 7 D E 4 A 6 C F 9 3 4 5 E B A D 1 7 8 0 2 0 A 3 E 7 B C D 2 4 5 8 1 F 6 9 B E D 2 8 C 1 3 6 7 0 4 9 A F 5 F 1 4 A D E 7 9 3 2 8 5 0 6 B C 3 8 5 6 B 0 4 A F C 9 D 2 1 7 E 7 9 C 0 F 5 6 2 1 B A E 8 D 3 4 A F 0 1 C 7 8 4 9 5 E 2 6 B D 3 8 2 6 C 5 D 9 F 4 0 B 3 A 7 E 1 5 7 E 4 0 6 3 B 8 D 1 A C 2 9 F 9 3 B D E A 2 1 7 6 F C 4 0 5 8 C 0 9 8 1 3 D 7 5 E 2 F B 4 A 6 D 4 7 F 2 8 B 5 A 9 3 6 E C 1 0 E 6 2 3 4 9 A 0 C 1 7 B F 5 8 D 1 5 A B 6 F E C D 8 4 0 3 9 2 7 Los empleados de Elektor International Media. Y los 3 Bonos de 50 € son para: Dominique Jacobs (Alemania). encuentra la solución en las casillas grises. Claude Ghyselen (Francia). sus empresas subsidiarias y/o editoriales asociadas no podrán participar en este concurso. 0…9. envíanos tu solución (los números de las casillas grises) por automáticamente en el sorteo de cuatro bonos para la tienda de correo electrónico a hexadoku@elektor. que determinan Rellena el diagrama de 16 x 16 casillas de modo que todos su situación inicial. Ya hay las casillas en gris. Entre todas las soluciones correctas reci- los números hexadecimales de 0 a F (es decir. en cada columna y en de consolación. uno de 100 € y tres de 50 €. A…F) bidas. www. sorteamos cada mes un gran premio y tres premios aparezcan una sola vez en cada fila. debes enviarnos los números de el recuadro de 4 x 4 (marcados con líneas en negrita). ¡Diviértete! El Hexadoku utiliza los números hexadecimales de 0 a F.es – Asunto: hexadoku 03-2013 Elektor. envíanosla y entrarás automáticamente en el sorteo de uno de los cuatro bonos para la tienda de Elektor. Aquí tienes uno nuevo para que practiques tus habilidades en combinatoria. Introduce los números o las letras de la A al F correctas en las casillas libres. ¡Esperamos que estos premios (por favor.es | marzo 2013 | 73 . Ganadores del sorteo La solución del Hexadoku de Noviembre de 2012 es: 621BA. Incluye en el correo tu nombre animen a todos nuestros lectores a participar! completo y tu dirección. Para ello. algunos números rellenos en el crucigrama.elektor-magazine. cópialo exactamente). ¡Resuelve el Hexadoku y gana! ¡Participa! Las soluciones correctas recibidas de los lectores de Elektor participan Por favor. y Reto Strub (Suiza). Envíalo antes del 1 de abril de 2013. Hexadoku Hexadoku Un rompecabezas con un toque electrónico No te preocupes si cada vez resuelves más rápidamente el Hexadoku de Elektor. El Bono de 100 € para la tienda de Elektor es para: Manuel Alejandro Masip Ruera (España). Art. reguladores de ten. se presta atención a la tecnología de la realimentación en los amplificadores de audio. transistores. El circuito aquí descrito garantiza nuestros propios programas para microcontroladores 3 Mastering Surface una óptima separación galvánica tanto de las líneas PIC. diodos y optpacopla dores. Se revelan Programming cluye once aplicaciones de cálculo de. Incluso si tienes presupuesto también DVD Feedback in 5 Más de 75. Todas las aplicaciones son totalmente Nunca más problemas con interferencias o boceto. minando la Tecnología de Montaje Superficial). Varios El objetivo de esta Masterclass (en inglés). incluyendo el lenguaje de pro. de montaje superficial al alcance de todos sin arruinarse. completar los datos de los componentes. por ejemplo. aunque no tos sobre más de 75. un lenguaje sión y AMV’s. Mastering Surface Mount Technology (Do.es . En esta clase magistral tratamos algunos aspectos 2 Database 7 todología y equipamiento.elektor-magazine. Además de explicar la me. gundo volumen. ECD facilita el acceso a los datos unos 282 páginas • ISBN 978-1-907920-12-7 • 34.# 120291-91 • 69. para realizar montajes SMT satisfactoriamente. Consta de proyectos te introducen paso a paso en el manejo de com. tras haber completado todos por los bucles de masa o para proteger al PC contra los ejercicios. 25.000 op to del modelismo. Este se. LabWorX es una colección de libros (en inglés) cada uno de PC y el dispositivo USB conectado. Placa montada y comprobada garse gratuitamente. El software que acompaña a este libro puede descar. In. 4 Aislador de USB No se necesita formación previa: cualquiera pue. es decir.000 componentes puedes poner en marcha tus diseños con avanzados com. así como para entender programas escritos por Mount Technology de datos como de las líneas de alimentación entre el otras personas. de 11.50 € en un curso intensivo de técnicas. que goza de gran popularidad en el mundo FET. ISBN 978-90-5381-298-3 • 29. completamente exenta de matemáticas. ponentes de montaje superficial y las técnicas necesarias 1 PIC Microcontroller grados. Empezaremos literalmente desde un acopladores. un microcontrolador utilizando JAL.100 diodos y 2.es/labworx para miembros de Elektor 5 € DE DESCUENTO 10 interesantes lecciones ocho bases de datos que comprenden circuitos inte. •Store 2 3 4 1 ¡Pide también el Kit de Componentes asociado! Oferta por tiempo limitado www.000 transistores. te introduce 284 páginas • ISBN 978-907920-17-2 • 34. hasta ir afianzando poco a poco nuestros interactivas.100 circuitos integrados. es propor- 74 | marzo 2013 | www. editar y bucles de masa conocimientos. nos sentiremos seguros para codificar LabWorX 2 tensiones externas. permitiendo al usuario añadir. de los componentes y a las técnicas de soldadura. pero increíblemente po.50 € para micros PIC gratuito. tente. 37.000 componentes.50 € Este aislador de USB es una solución perfecta para de iniciarse con este libro. Una vez superadas todas un dispositivo USB con problemas de ruido causado las lecciones. Audio Amplifiers CD Elektor’s Components ponentes de pequeño tamaño. tiristores y triacs.elektor. Este CD-ROM te permite acceder fácilmente a los da. consejos y conocimien- tos para introducir la Tecnología de Montaje Superficial en Presentación en vídeo de 140 minutos y más tu flujo de trabajo.95 € gramación JAL. los cuales trata un tema particular de la electrónica. muchos consejos y trucos prácticos para poner la tecnología En este libro (en inglés) aprenderemos a programar resistencia serie de diodos zener. Con unas pocas intervenciones hábi.es | marzo 2013 | 75 . les. El autor. Mediante un tarjeta incluye todo lo necesario en un proyecto embe Han. El examen nológicamente puntero trata sobre algo en cierta me- tajas. Aquella época vio nacer a la linterna Kongming: un software de PC opcional puede obtenerse el espectro bido actual: un interfaz USB. incluyendo dida primitivo: la magia de los globos aerostáticos. Helmuth Lemme. Jan Didden. 287 páginas • ISBN 978-1-907920-13-4 • 34. Hoy en día. basándose en la tecnología de los se- crados. de forma que la libro incluye numerosos chips NFC que permiten conec- ISBN 978-907920-16-5 • 29. El “Near Field controlador programado Art. Libros. Elektor resuelve 8 Catch the Sun y la radiación de pequeñas muestras se aprecia con ambos problemas con un curso para principiantes La técnica de comunicación sin contacto más antigua co. CD-ROMs. un fotodiodo ofrece valores de cero más bajos. ofrece conectividad inalámbrica Art. tas SD y varias opciones más de expansión! para transmitir señales militares. incluso en máquinas de café. mejorado todo tipo de dispositivos. smartphone o tablet compatibles con NFC. Este libro enlaza ambas técnicas. muchos instrumentos pueden hacerse más versá. Esta nocida data de hace 2000 años en China. considerado como secretos del fabricante.95 € Communication”. DVDs.# 120026-91 • 64. de una manera senci. pero también de sus limitaciones y desven.95 € www. y curiosamente.00 € tiles y que suenen mucho mejor – de forma asequible Placa Linux de Elektor en la mayoría de los casos. con la dinastía más precisa que con un contador Geiger. han sido hasta ahora un obstáculo. Es apto para de usar Linux como base de un proyecto para micro. Kits y Módulos 5 8 7 9 6 cionar una visión y comprensión de los temas involu. los globos Kit de componentes incluyendo display y Placa montada y comprobada Kongming han sido sustituidos por chips.# 110538-71 • 39. el contenido de este libro tec- errores. o NFC. pequeño globo de aire caliente utilizado principalmente energético y sacar conclusiones del objeto a examen. Podemos testear probetas de forma acompañado por una tarjeta compacta y barata. beta y gamma Embebido músico activo. Los Secretos del Sonido y Tecnología a corta distancia. se han integrada.elektor-magazine. proporciona una 7 Electric Guitar miconductores. 128 páginas • ISBN 978-9-07545-861-9 • 45.95 € electrónica de la guitarra ya no es considerada como tarlo a Internet. hasta ahora. lo que. mayor facilidad. ¡Recomendado para diseñadores y buenos afi. Realmente ha probado en la práctica Medidor de radiación 9 A día de hoy se puede encontrar Linux corriendo en todo lo que se describe aquí. El presentador. El cionados al audio! las pastillas y el entorno eléctrico. basta con tocar en los tags con nuestro un alto secreto. the Sun” es el primer libro del mundo con tecnología NFC de la realimentación y su hermana.50 € El dispositivo puede utilizarse con distintos sensores Muchos entusiastas de la electrónica estarán ansiosos para medir la radiación gamma y alfa. pero la aparente complejidad del sistema El primer libro del mundo de baja actividad. explora las profundidades de la guitarra. 6 Simplificando Linux es un profesional experimentado de la electrónica y Contador de alfa. En comparación con un contador operativo y el alto precio de las placas de desarrollo con tecnología NFC integrada Geiger. la corrección de lla y bien fundamentada. medidas durante largo tiempo y el análisis de probetas controlador. “Catch visión clara de los beneficios que pueden obtenerse Este libro (en inglés) muestra. una conexión para tarje. utilizando BAS- de Internet. originalmente los encontramos en la revista. Diseña tu propio integrado y a intercambiar datos en tiempo real sobre el bus.95 € el bus CAN.•Store 10 11 12 12 13 14 Programación paso a paso se puede configurar tu propio circuito digital en un Curso Elemental 10 Android Apps integrado. Art. Elementary Course 13 Este libro (en inglés) es una introducción a la En esta serie mostramos cómo cualquier electrónico BASCOM-AVR programación de apps para dispositivos Android. cómo con struir contiene todos los artículos editoriales publicados en el un bus CAN.elektor-magazine.# 120099-91 • 59. ¡ya no con la ayuda de la placa utilizando microcontroladores ATmega o ATtiny. La ma- amplia variedad de aplicaciones basadas en buen FPGA de Elektor! yoría de estos proyectos desempeñan una función par- número de ejemplos prácticos. con la ayuda de una placa FPGA de usar y extremadamente vesátiles. puedes poner en marcha rápidamente tus ideas lenguaje de programación Java. los Uno de los componentes más polifacéticos y microcontroladores y a conec tarlo con LEDs. 11 Placa FPGA de Elektor Aprenderás también cómo construir hardware con Con el programa Adobe Reader suministrado. rollo sistemas basados en microcontrolador utilizando El DVD-ROM “volumen anual” se encuentra entre 244 páginas • ISBN 978-1-907920-15-8 • 39.50 € CD-ROMs cuentan con un potente motor de búsqueda 76 | marzo 2013 | www. como si fuese de verdad tu propio chip. con el objetivo de mostrar como se pueden pruebas diseñada en el laboratorio de Elektor. cómo desar rollar programas de alto nivel Volumen 2012 de las publicaciones en español. 14 DVD Elektor 2012 que piensas. El puede iniciarse fácilmente en este tipo de lógica Los microcontroladores AVR son populares. En la revista Elektor a paso.95 € los aspectos relacionados con el software. ya que crear tus propias apps y El objetivo de este libro (en inglés) es enseñarte los Todos los artículos del año 2012 programar dispositivos Android es más fácil de lo principios básicos de las redes CAN además del desar. este libro explica de diseño y ejecutarlas en silicio. Este DVD CAN basados en microcontroladores. LCDs y artículos se presentan con el mismo diseño con el que complejos de la electrónica actual es sin duda la converti-dores A/D. inglés.es . Los DVD/ FPGA. lectura de sensores y datos GPS. un laberinto de puertos y circuitos con la que 260 páginas • ISBN 978-1-907920-04-2 • 34. Se presenta una a las FPGA? Que va. holandés. Cuando se el compilador mikroC en CD-ROM trata de personalizar tu smartphone no deberías 12 Controller Area sentirte limitado por las aplicaciones que haya Network Projects en la plataforma. desde programas de Módulo montada y comprobada ticular. Además de escribir aplicaciones en el COM. fáciles de funcionamiento del sistema Android se explica paso programada. Aprenderás cómo diseñar nodos de bus los productos más populares de Elektor. En este libro (en inglés) nos centramos más en matemática simple. ¿Miedo ya hemos publicado muchas aplicaciones interesantes programar aplicaciones personales.95 € empleando Javascript o scripts PHP. francés y alemán de la revista Elektor. Con muchos hasta programación avanzada para aplicaciones ejemplos prácticos mostramos cómo. también cómo se pueden programar aplicaciones Incluye gratuitamente 224 páginas • ISBN 978-1-907920-11-0 • 39. babeen o La segunda placa sacará señales de la nada. troladores. ISBN 978-90-5381-273-0 • 27. están en formato PDF y orde-nadas 18 Retronics 15 Radio Definida por cronológicamente por fecha de publicación (año/mes).50 € Este DVD-ROM contiene la colección completa de la 337 páginas • ISBN 978-0-9630133-5-4 • 34. gran éxito. Este libro (en inglés) es una recopilación de 80 Software con AVR El DVD contiene más de 2100 artículos imprimibles entregas de Retrónica publicadas en Elektor entre Este paquete consta de las tres placas asociadas con la con alta calidad. + Receptor Universal de procesadores en el mundo real. dejan-do al con un programa gráfico u obtener una copia impresa DVD Elektor desde descubierto los temas esen cia les que van de la escri- 16 a resolución de impresora. CD-ROMs. Kits y Módulos 15 17 18 16 y dan la posibilidad de editar los diseños de las PCBs 120 revistas de Elektor en español de un microprocesador de principio a fin.es/store proporciona una antena activa de ferrita. Puedes abrir un documento PDF se.00 € 193 páginas • ISBN 978-1-907920-18-9 • 29. 1998 a 2007 tura en Verilog a la depuración y las pruebas. using Verilog HDL Kit de componentes Generador de Señal Este libro (en inglés) es una guía práctica del diseño Elektor International Media Spain.: +34 91 101 93 95 + Convertidor USB/Serie BOB-FT232R intro-ducción detallada sobre cómo reducir una arqui- Fax: +34 91 101 93 96 tectura de ordenador y el set de instrucciones en la Email: service@elektor. Presenta el Veri. Las historias abarcan equipos de prueba serie de artículos de la revistas Elektor Radio Definida leccionando el año de publicación y luego la edición clásicos. se opongan. técnicas de audio y video. DVDs. que inclu. se sienten. La primera placa. 80 cuentos de la electrónica del pasado Oferta de conjunto: Ahora 12% te esos 10 años. Será guiado a lo largo del proceso de diseño www. que se puede Una guía práctica del diseño de procesadores Más Información en la página web de enganchar a una señal de referencia. Apartado de Correos 62011 + Antena Activa: PCBs y todos los componentes 28042 Madrid – España log HDL de manera fácilmente digerible y sirve como Tel. ordenadores prehistóricos. ne todo el hardware necesario para hacer una radio ISBN 978-90-5381-241-9 • 59.95 € práctica. experimenten el tufillo de la nostalgia. La tercera placa Microprocessor Design 17 Elektor: www. 2004 y 2012. un oscilador de 20 MHz y un CDA bien representadas en el DVD: sistemas con microcon. todo ello con el propósito de hacer que los R2R. deseada. Entre otras.50 € revista de electrónica Elek tor editada en España en- tre los años 1998-2007. S. con un interfaz RS-232.L. los proyecto de Elektor que alcanzaron un ye un ATTINY2313. Contie.# 100182-72 • 119.es Art. será utilizada para hacer un generador de señal.es | marzo 2013 | 77 .elektor-magazine.elektor. las siguientes categorías están olvidados. Las ediciones de Elektor. una pantalla LCD y un VXCO de 20 MHz (osci- lador de cristal controlado por tensión). frecuencia y software de diseño de circuitos. Libros.95 € digital defi nida por software (SDR). que ascienden a un total de 120 revistas publicadas duran. técnicas de alta ingenieros sonrían. componentes ya por Software con AVR. Los títulos y los contenidos de la revista están sujetos a modificación.elektor. ofertas y más • Proyecto exclusivo cada dos semanas. Así que el mes que viene continuaremos de localización sirviéndose de una antena un zumbador. Habla.•Magazine Localizador de modelos Placa de ampliación Montaje del medidor LCR perdidos Embedded Linux de 500 ppm No. Tiene digeridos adecuadamente.es Hazte miembro GRATIS del Elektor. zona de prototipos de 1. verifica el contenido en “revista” en www. la placa Linix de Elektor ha Somos conscientes de los esquemas y a Naomi Campbell o a Kate Moss. El circuito es fácil de construir su correspondiente batería y un puerto de Un instrumento de precisión como este un gracias a la utilización de módulos comercia. expansión que añade 16 entradas/salidas cuidado extra en el diseño y la calidad de los les aprobados para la banda ISM tanto en el digitales. este circuito no te ayudará a localizar Hasta ahora.es/boletin . Además. por favor. mes.elektor.POST • Lo último en electrónica y tecnologías de la información • Videos. consejos. Se aloja un pequeño transmisor interesantes elementos de hardware. sólo para miembros GREEN • Elektor tras la escena • Cada viernes en tu bandeja de entrada Regístrate hoy en www. cautivado a miles de lectrores de Elektor. direccional. trucos. un reloj de tiempo real con cuidadosamente con el montaje del medidor. emisor como en el receptor.5 x 6 cm para que incorpores tus propias ampliaciones. varias consideraciones de diseño del nuevo mos de un útil dispositivo que te ayudará En la próxima edición presentaremos una medidor LCR de 500 ppm lanzado en esta a encontrar tus areomodelos estrellados en placa de ampliación que añade algunos edición requieren un poco de tiempo para ser el campo. digamos que un en el avión. un LCD de 2x16 caracteres. también dispone de una componentes utilizados. y un receptor recoge su señal tres pulsadores. el archivo online de Elektor • Recibir Elektor. • Un mínimo del 10% de descuento en todos los artículos de Elektor.MAGAZINE.elektor.Elektor pasa a ser Digital !Hazte socio GREEN ahora! Como miembro GREEN OFERTA ESPECIAL obtendrás: Precio normal: 92 € • 8 ediciones estándar digitales de Elektor Ahora un año por solo 50 € 50 • 2 ediciones especiales digitales ¡y si eres menor de 25 años* pagarás solo 25 €! (enero/febrero y julio/agosto) * Deberás facilitarnos algún documento que acredite tu edad.STORE • Acceso completo a Elektor.LABS • Acceso completo a Elektor.POST en tu cuenta de email (incluyendo 25 proyectos extra cada año) • Tu propia tarjeta GREEN CARD de socio ecológico Hazte socio GREEN ahora en www.es/miembro . y en otros países. Utilice las pilas USB y los drivers Low Power (XLP) también logra un consumo de energía a partir de 35 μA/MHz en modo de software gratuitos para activo y de 20 nA en modo dormido. todo ello en un solo microcontrolador PIC® de 8 bit. Empiece a desarrollar con kits de El coste más bajo y el tamaño más pequeño desarrollo de bajo coste Los microcontroladores PIC16F145X ofrece conectividad USB y sensado táctil capacitivo. facilitando así la adaptación a unas mayores prestaciones. Sensado táctil de altas prestaciones con USB Los microcontroladores PIC18F2X/4XK50 integran una Unidad de Medición de Tiempo de Carga (Charge Time Measurement Unit.25% para permitir conectividad USB sin cristal a su aplicación externo. La tecnología eXtreme 2. PICDEM es una marca de Microchip Technology Incorporated en EE. y en otros países.UU. tienen patillas compatibles con los microcontroladores PIC18 ya existentes. También son los primeros microcontroladores USB en combinar entre 14 y 100 patillas junto a periféricos integrados y hasta 128 KB de Flash. 1. DS31039A. reloj/calendario en tiempo real alimentado SENCILLOS: mediante batería y USB en un solo chip. ME1049Spa10. Las restantes marcas citadas pertenecen a sus respectivas compañías. Todos los derechos reservados. MPLAB y PIC son marcas registradas de Microchip Technology Incorporated en EE. así como una amplia variedad de periféricos integrados que ocupan una superficie a partir de 4x4 mm. acelerar el diseño 3. USB con control de LCD y reloj/calendario en tiempo real con Vbat La familia PIC18F97J94 ofrece conectividad USB con control de LCD y un reloj/calendario en tiempo real (RTCC). CTMU) y trabajan a una tensión de 1.12 .25% permite conectividad USB. Microchip Technology Incorporated.8 V a 5 V. Escoja los periféricos y el número Los microcontroladores PIC® USB más recientes de Microchip incorporan fuentes de reloj de patillas que mejor se adapten interno con una precisión del reloj del 0. © 2012.UU.microchip. Para mayor información.Microcontroladores PIC® USB de 8 bit sin cristal que reducen los costes del sistema y el consumo de energía La precisión del reloj del 0.com/get/eu8bitUSB El nombre y el logo de Microchip. visite: www. suprimiendo así la necesidad de un cristal externo Los microcontroladores USB con el coste más bajo y el tamaño más pequeño de Microchip tienen de 14 a 100 patillas y son los primeros micrococontroladores EMPIECE CON 3 PASOS de 8 bit en integrar control de LCD.
Copyright © 2024 DOKUMEN.SITE Inc.