0521514606

March 16, 2018 | Author: alireza_oneal | Category: Field Effect Transistor, Transistor, Mosfet, Crystal Structure, Wave Function


Comments



Description

P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 ii This page intentionally left blank P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 Understanding Modern Transistors and Diodes Written in a concise, easy-to-read style, this text for senior undergraduate and graduate courses covers all key topics thoroughly. It is also a useful self-study guide for practising engineers who need a complete, up-to-date review of the subject. Key features: r Rigorous theoretical treatment combined with practical detail r A theoretical framework built up systematically from the Schr¨ odinger Wave Equation and the Boltzmann Transport Equation r Covers MOSFETS, HBTs, HJFETS, solar cells and LEDs. r Uses the PSP model for MOSFETS r Describes the operation of modern, high-performance transistors and diodes r Evaluates the suitability of various transistor types and diodes for specific modern applications r Examines solar cells and LEDs for their potential impact on energy generation and reduction r Includes a chapter on nanotransistors to prepare students and professionals for the future r Rigorous treatment of device capacitance r Provides results of detailed numerical simulations to compare with analytical solutions r End-of-chapter exercises to aid understanding r Online availability of sets of lecture slides for undergraduate and graduate courses David L. Pulfrey is a Professor in the Department of Electrical and Computer Engineering at the University of British Columbia, Canada, where he has been since receiving his Ph.D. in 1968 from the University of Manchester, UK. He has won teaching awards at the university-, provincial- and international-levels. Most recently he won the 2009 IEEE Electron Devices Society Education Award “for contributions to the teaching of electron devices at both the undergraduate and graduate levels”. He has received recognition for his research work on a wide range of semiconductor devices by being elected Fellow of the IEEE in 2000, and Fellow of the Canadian Academy of Engineering in 2003. i P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 ii P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 Understanding Modern Transistors and Diodes DAVI D L. PULFREY Department of Electrical and Computer Engineering University of British Columbia Vancouver, BC V6T1Z4 Canada iii CAMBRIDGE UNIVERSITY PRESS Cambridge, New York, Melbourne, Madrid, Cape Town, Singapore, São Paulo, Delhi, Dubai, Tokyo Cambridge University Press The Edinburgh Building, Cambridge CB2 8RU, UK First published in print format ISBN-13 978-0-521-51460-6 © Cambridge University Press 2010 2010 Information on this title: www.cambridge.org/9780521514606 This publication is in copyright. Subject to statutory exception and to the provision of relevant collective licensing agreements, no reproduction of any part may take place without the written permission of Cambridge University Press. Cambridge University Press has no responsibility for the persistence or accuracy of urls for external or third-party internet websites referred to in this publication, and does not guarantee that any content on such websites is, or will remain, accurate or appropriate. Published in the United States of America by Cambridge University Press, New York www.cambridge.org Hardback P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 To Eileen v P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 vi P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 Contents Preface page xv 1 Introduction 1 2 Energy band basics 3 2.1 Periodic structures 3 2.2 Periodic potential 4 2.3 Schr¨ odinger’s equation 6 2.4 Energy bands 7 2.5 Reduced-zone plot 10 2.6 Origin of the bandgaps 11 2.7 Quantum states and material classification 12 2.8 Band structure of real semiconductors 13 2.9 Crystal momentum and effective mass 16 2.9.1 Negative effective mass 18 2.9.2 Hole polarity 20 2.9.3 Parabolic-band approximation 20 2.10 Constant-energy surfaces 21 2.11 Effective-mass Schr¨ odinger equation 23 2.11.1 Boundary conditions for the effective-mass equation 25 2.12 Energy-band diagram 25 2.13 From microscopic to macroscopic 26 Exercises 26 References 28 3 Electron and hole concentrations 30 3.1 Creation of electrons and holes 30 3.1.1 Thermal generation 30 3.1.2 Optical generation 33 3.1.3 Electrical generation 34 3.1.4 Chemical generation 35 vii P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 viii Contents 3.2 Recombination 37 3.2.1 Band-to-band recombination 38 3.2.2 Recombination-generation-centre recombination 39 3.2.3 Auger recombination 40 3.2.4 Recombination lifetime 41 3.3 Carrier concentrations 43 3.4 Density-of-states effective masses in silicon 46 3.4.1 Electrons 46 3.4.2 Holes 46 Exercises 47 References 48 4 Thermal equilibrium 49 4.1 Collisions 49 4.2 The Fermi level 51 4.3 Equilibrium carrier concentrations and the Fermi level 53 4.4 Equations involving intrinsic properties 56 4.5 Mean unidirectional velocity of an equilibrium distribution 57 4.5.1 Effective mass and : R 60 4.5.2 Current and : R 60 Exercises 61 References 62 5 Charge transport 63 5.1 Charge, current and energy 63 5.2 The Boltzmann Transport Equation 64 5.2.1 The Method of Moments 65 5.2.2 The continuity equations 65 5.3 The device equation set 69 5.4 Mobility 71 5.4.1 Empirical expressions for mobility 73 5.4.2 Conductivity effective mass 74 5.5 Current 75 5.5.1 Drift current 76 5.5.2 Diffusion current 77 5.5.3 Thermal current 79 5.6 Ballistic transport 80 5.7 Tunnelling 81 5.7.1 Probability density current 81 5.7.2 Transmission probability 83 5.7.3 Tunnel current 85 Exercises 87 References 90 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 Contents ix 6 np- and Np-junction basics 91 6.1 np-junction at equilibrium 91 6.1.1 The built-in voltage 93 6.1.2 Constructing an equilibrium energy-band diagram 94 6.1.3 Potential profile 95 6.2 The Depletion Approximation 96 6.3 np-junction under bias 98 6.3.1 Constructing a non-equilibrium energy-band diagram 100 6.3.2 Quasi-neutrality 101 6.3.3 Reverse bias 102 6.4 Quasi-Fermi levels 102 6.5 Shockley’s Law of the Junction 105 6.6 The ideal-diode equation 106 6.6.1 Deviations from ideality in diodes 108 6.7 Np-junction electrostatics 109 6.7.1 Energy band offsets 110 6.7.2 Junction space-charge region 110 6.7.3 Quasi-Fermi-level splitting 111 6.8 Emitter injection efficiency 113 Exercises 114 References 115 7 Solar cells 116 7.1 The Sun as an electrical resource 116 7.2 Absorption 118 7.3 Generation 119 7.4 Photocurrent 120 7.4.1 Surface recombination velocity 121 7.4.2 Emitter photocurrent 122 7.4.3 Base photocurrent 123 7.4.4 Space-charge-layer photocurrent 123 7.4.5 Total photocurrent 124 7.5 Photovoltage 126 7.5.1 Photovoltaic power 128 7.6 Non-silicon solar cells 131 7.6.1 Thin-film solar cells 131 7.6.2 Tandem-junction cells 132 7.7 Prospects for terrestrial photovoltaic power generation 133 Exercises 135 References 136 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 x Contents 8 Light-emitting diodes 138 8.1 Voltage efficiency 138 8.2 Current efficiency 140 8.2.1 Heterojunction diodes 141 8.3 Radiative recombination efficiency 142 8.4 Extraction efficiency 143 8.5 Wall-plug efficiency 146 8.6 Luminous efficacy and efficiency 146 8.7 White-light LEDs 147 8.8 Prospects for general-purpose solid-state lighting 149 Exercises 151 References 152 9 HBT basics 153 9.1 Basic properties 154 9.2 Collector current 156 9.3 Base current 161 9.3.1 Recombination in the base 162 9.3.2 Hole injection into the emitter 163 9.4 DC equivalent-circuit model 164 Exercises 166 References 168 10 MOSFET basics 169 10.1 Transfer characteristic 169 10.2 Electrostatics 173 10.2.1 MOS capacitor 173 10.2.2 MOSFET 175 10.3 MOSFET I-V characteristics from the surface-potential model 176 10.3.1 Surface potential 176 10.3.2 Drain current 179 10.3.3 Pinch-off and channel-length modulation 182 10.4 MOSFET I-V characteristics from the strong-inversion, source-referenced model 182 10.4.1 Basic assumptions of the model 182 10.4.2 Drain current for constant mobility 183 10.4.3 Comparison of the surface-potential and SPICE models 185 10.4.4 Threshold voltage, body-effect coefficient and channel charge density 185 10.4.5 I D when mobility is field-dependent 187 10.5 Sub-threshold current 189 10.6 Applying the long-channel models 190 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 Contents xi 10.7 DC equivalent-circuit model 191 Exercises 192 References 193 11 HJFET basics 195 11.1 Schottky barrier 195 11.1.1 Thermionic emission and tunnelling 198 11.2 MESFET 199 11.2.1 Channel formation and threshold voltage 199 11.2.2 Drain current 200 11.3 HEMT 202 11.3.1 The 2-DEG 203 11.3.2 The finite well 205 11.3.3 Electron concentration in the 2-DEG 206 11.3.4 Controlling the channel charge by the gate potential 207 11.3.5 The drain I-V characteristic 208 Exercises 208 References 209 12 Transistor capacitances 210 12.1 Defining capacitance 210 12.2 MOSFET capacitance 213 12.2.1 Intrinsic MOSFET capacitances 213 12.2.2 Extrinsic MOSFET capacitances 217 12.3 HBT capacitance 217 12.3.1 Emitter-base junction capacitance 218 12.3.2 Base storage capacitance 219 12.3.3 Emitter storage capacitance 220 12.3.4 Base-emitter transit capacitance 220 12.3.5 Collector-base junction capacitance 222 Exercises 222 References 224 13 Transistors for high-speed logic 225 13.1 Si CMOS 225 13.1.1 General features of CMOS 225 13.1.2 The ON-current 227 13.1.3 Channel mobility and strain 229 13.1.4 Oxide capacitance and high-k dielectrics 232 13.1.5 Metal gates and poly-silicon capacitance 233 13.1.6 Gate leakage current 234 13.1.7 Threshold voltage: the short-channel effect 235 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 xii Contents 13.1.8 Threshold voltage: a quantum-mechanical effect 239 13.1.9 Silicon-on Insulator FET 240 13.1.10 Power dissipation 242 13.1.11 Large-signal equivalent-circuit model 245 13.2 Emitter-coupled logic 246 13.2.1 Large-signal equivalent-circuit model 247 Exercises 248 References 250 14 Transistors for high frequencies 251 14.1 Quasi-static analysis 251 14.2 The generic small-signal model 253 14.3 Hybrid-π small-signal model for HBTs 255 14.4 f T : the extrapolated unity-current-gain frequency 256 14.4.1 An expression for f T 257 14.5 Designing an HBT for high f T 259 14.5.1 SiGe HBT 260 14.6 f max : the extrapolated unity-power-gain frequency 262 14.6.1 Base-spreading resistance 264 14.7 f T and f max for FETs 266 14.7.1 f T 267 14.7.2 f max 268 14.8 Power gain, oscillation and stability 268 Exercises 269 References 271 15 Transistors for memories 273 15.1 Flash memory 273 15.2 Dynamic Random Access Memory 277 Exercises 280 References 280 16 Transistors for high power 281 16.1 Avalanche breakdown 281 16.2 The Kirk Effect 284 16.3 Transistors for power amplifiers 284 16.3.1 GaAs HBTs 285 16.3.2 GaN HJFETs 289 16.4 Transistors for high-voltage power supplies 292 16.4.1 Si L-DMOSFETs 293 16.4.2 Lateral insulated-gate bipolar transistor 294 Exercises 296 References 397 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 Contents xiii 17 Transistors for low noise 299 17.1 Noise: general properties 299 17.2 Noise inherent to transistors 300 17.2.1 Thermal noise 300 17.2.2 Shot noise 301 17.2.3 Flicker noise 302 17.2.4 Induced gate noise 303 17.2.5 Adding-up the noise 304 17.3 Representation of noise in an equivalent circuit 304 17.4 Noise figure 306 17.4.1 Associated gain 307 Exercises 309 References 309 18 Transistors for the future 310 18.1 1-D carrier basics 311 18.1.1 Density of states 311 18.1.2 Carrier density 312 18.1.3 Mean, unidirectional velocity of a 1-D equilibrium distribution 313 18.2 1-D ballistic transport 314 18.2.1 Dimensions for current density 316 18.2.2 Local density of states 316 18.2.3 Evaluating the charge 316 18.3 Master set of equations for 1-D simulations 318 18.4 Comparison of 1-D and 2-D currents 319 18.4.1 Energy dissipation in ballistic transistors 321 18.5 Novel features of carbon nanotube FETs 321 18.5.1 Quantum capacitance and transconductance 322 18.5.2 Ambipolarity 323 Exercises 324 References 326 19 Appendices 327 19.1 Appendix A: Physical constants 327 19.2 Appendix B: Selected material properties 327 19.3 Appendix C: N-MOSFET parameters 329 Index 330 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 xiv P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 Preface Understanding Modern Transistors and Diodes is a textbook on semiconductor devices with three objectives: (i) to provide a rigorous, yet readable, account of the theoretical basis of the subject of semiconductor devices; (ii) to apply this theory to contemporary transistors and diodes so that their design and operation can be thoroughly understood; (iii) to leave readers with a sense of confidence that they are well equipped to appreciate the workings of tomorrow’s devices, and to participate in their development. There are many books on semiconductor devices, often with similar objectives, and it is reasonable to ask: why write another one? The answer is two-fold: firstly, after teaching and researching in the area for 40 years, I have a strong personal viewpoint on how the subject can best be presented to students; secondly, we are at a particularly interesting point in the development of the subject – we are at the micro/nano boundary for high-performance transistors, and we are on the threshold of seeing optoelectronic diodes make a contribution to our planet’s sustainability. These circumstances are new, and are quite different from those of 20 years ago when I was last moved to write a book on semiconductor devices. At that time the major development was the incorporation of thousands of transistors into monolithic integrated circuits. To design and analyse such circuits, the transistors were represented by a set of model parameters. One could use these parameters to design a circuit without understanding howthey related to the physical properties of the actual transistors comprising the circuit. To address this deficiency I co-authored a book with Garry Tarr in 1989 that specifically linked circuit-model parameters to the physical properties of transistors and diodes. 1 Today, after a further 20 years of teaching and researching in the area of solid- state devices, I find myself lecturing on, and needing to know more about: the effect of miniaturization on the performance of silicon field-effect transistors, as used in increasingly dense integrated circuits and memories; the displacement of the silicon bipolar transistor from its traditional areas of strength (high-frequency, high-power, low-noise) by heterostructural devices based on compound semiconductors; how device engineers and physicists can address sustainability issues in their domain, particularly the generation of electricity from a renewable source via more cost-effective solar cells, and the reduction of electricity usage for lighting via high-brightness light-emitting diodes. Sometimes I feel as though the trends in semiconductor devices are creating 1 D.L. Pulfrey and N.G. Tarr, Introduction to Microelectronic Devices, Prentice-Hall, 1989. xv P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 xvi Preface an impossible situation: the need for greater depth of knowledge in a wider variety of devices. The solution to this dilemma comes back to the first objective of this book: provide a rigorous and digestible theoretical basis, from which the understanding of devices of the modern era, and of the near future, follows naturally. This is howUnderstanding Modern Transistors and Diodes meets the challenge of covering a wide breadth of topics in the depth they warrant, while managing to limit the material to that which can be covered in one or two one-term courses. The requisite physics is treated properly once and is then approximated, and seen to be approximated, where justifiable, when being applied to var- ious devices. The physics has to be quantum mechanical for several reasons: band struc- ture is important for all the devices we discuss, particularly for heterostructural diodes and transistors of both field-effect and bipolar varieties; electron-photon interactions are obviously relevant in solar cells and light-emitting diodes; tunnelling is an important leakage-current mechanismin field-effect transistors; future one-dimensional transistors may be so short that ballistic, rather than dissipative, transport will be operative. Even in ‘classical’ devices transport must be treated rigorously in view of the trends towards miniaturization: the Drift-Diffusion Equation cannot be blindly applied, but must be justified after a proper treatment of its parent, the Boltzmann Transport Equation. One intermediate solution to this equation, the charge-density continuity equation, provides the basis for our rigorous and formal description of capacitance. This device property is crucially important to the transistors presented in the application-specific chapters in the book on digital switching, high-frequency performance and semiconductor memories. As a final emphasis on the rigour of this book, the traditional SPICE-related model for the MOS field-effect transistor is put in its rightful place, i.e., as a computationally expedient approximation to the ‘surface-potential’ model. If SPICE has helped design circuits that have enabled higher performance computers, then that has been its downfall, because those computers can now permit the more rigorous surface-potential model to be used for the more accurate simulation of integrated circuits! Understanding Modern Transistors and Diodes is intended for students at the graduate or senior-undergraduate level who are studying electronics, microelectronics or nano- electronics, within the disciplines of electrical and computer engineering, engineering physics or physics. However, there is sufficient material on basic semiconductor theory and elementary device physics for the book to be appropriate also for a junior-level course on solid-state electronic devices. Additionally, the inclusion in the book of spe- cific chapters on the application of the foundation material to modern, high-performance transistors and diodes, and a glimpse into the future of true nanotransistors, should make the book of interest to practitioners and managers in the semiconductor industry, partic- ularly those who have not had the opportunity to keep up with recent developments in the field. It is my hope that the depth and breadth of this book might make it a ‘one-stop shop’ for several levels of courses on semiconductor devices, and for device-practitioner neophytes and veterans alike. The material in this book, in various stages of develop- ment, has been used by me for senior-level undergraduate courses and for graduate-level courses on semiconductor devices at UBC, for short courses to engineers at PMC-Sierra in Vancouver, and to graduate students at the University of Pisa and at the Technical P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 Preface xvii University of Vienna. I thank all those students of these courses who have commented on the material and have sought to improve it. As an undergraduate I focused on ‘heavy-current electrical engineering’, and never benefited from a course on semiconductor devices. I am basically ‘self-taught’ in the area, and I think that this has attuned me particularly well to the nature of the difficulties many students face in trying to master this profound subject. Hopefully this book circumvents most of these obstacles to the understanding of how semiconductor devices work. If it does, then thanks are due to many people who have enlightened me over my 40 years of working in the subject area, both as a professor at the University of British Columbia, and as a visiting research engineer at various industry, government, and university laboratories around the world. I particularly want to mention Lawrence Young, who hired me as a postdoc in 1968, and thereby started my transformation to a ‘light-current electrical engineer’. I owe a great debt of gratitude to my graduate students, with whom I have worked collegially, learning with them, and sharing the work ‘in the trenches’ as much as possible. One of the great pleasures of writing this book has been to call on some of them, and on some former undergraduates too, to make sure that the material in some of the device-specific chapters in the book is truly modern. Particularly, I wish to thank: Alvin Loke (AMD, Colorado) for his enthusiastic support, his insights into the finer points of modern, high-performance CMOS devices and his arrangement of the procurement of the cover photograph from AMD’s Dresden laboratory; Tony St. Denis (Triquint, Portland) for provision of material on high-frequency and low-noise heterojunction field-effect transistors; Mani Vaidyanathan (University of Alberta) for his insights into high-frequency devices, and for his encouragement; Leonardo Castro (Qimonda, Munich) for helpful details on DRAMs; David John (NXP, Eindhoven) for useful information on silicon power transistors, and for alerting me to Philips’ version of the MOSFET surface-potential model; Shawn Searles (AMD, Austin) for sharing his thoughts on where Si CMOS is heading; Gary Tarr (Carleton University) for commenting on the solar cell chapter. I also wish to thank Ivan Pesic of Silvaco Data Systems for making a copy of his company’s excellent simulation software, Atlas, available to me during 2008. At Cambridge University Press, England, I thank Julie Lancashire for her encouragement of this project, and Sarah Matthews, Caroline Brown and Richard Marston for their assistance in bringing it to fruition. Most ‘part-time’ authors of technical books comment on the interruptions to their family life that writing a textbook entails, and I am no exception. My children, their spouses and my grandchildren are my friends, and I am conscious of the time I have missed spending with them. I hope they will think that this book has been worth it. The writing of it has been sustained by the encouragement, support and understanding of my wife, Eileen, to whom I give my deepest thanks. David Pulfrey Vancouver P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in CUUK824-fm cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:59 xviii P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 1 Introduction It is highly probable that you will use a laptop computer when doing the exercises in this book. If so, you may be interested to know that the central processing unit of your computer resides in a thin sliver of silicon, about 1 square centimetre in area. This small chip contains over 100,000,000 Si MOSFETs, 1 each about a thousand times smaller than the diameter of a human hair! The slender computer that you nonchalantly stuff into your backpack has more computing power than the vacuum-tube computers that occupied an entire room when I was a student over 40 years ago. When you are reading this book, you may be distracted by an incoming call on your cell ’phone. That may get you wondering what’s inside your sleek ‘mobile’. If you opened it up, and knew where to look, you’d find some GaAs HBTs. 2 These transistors can operate at the high frequencies required for local-area-network telecommunications, and they can deliver the power necessary for the transmission of signals. Of course, a cell ’phone nowadays is no longer just a replacement for those clunking, tethered, hand-sets of not so long ago: it is also a camera and a juke box. The immense storage requirements of these applications are met by Flash memory, comprising more millions of Si MOSFETs. Your cell ’phone is really a PDA, 3 and probably also allows internet access, in which case you may wonder how signals from around the globe find their way into your machine. Somewhere in the communications chain there’s probably a low-noise amplifier to receive tiny signals and not add undue noise to them. GaAs HBTs are good for this, but even better are InP HEMTs. 4 If satellites are involved, then the base station will employ high-power transistors, possibly lateral-diffused Si MOSFETs, or maybe GaN HJFETs. 5 So, without straying very far from where you are sitting as you read this, you have tangible evidence of the dramatic influence electronics has on the way many of us conduct our business and recreation. All the different transistors mentioned above are described in this book, and are grouped according to their ability to perform: in high-speed digital logic; at high frequencies; with low noise; at high output power; in semiconductor memory. 1 Metal-Oxide-Semiconductor Field-Effect Transistors. 2 Heterojunction Bipolar Transistors. 3 Personal Digital Assistant. 4 High Electron Mobility Transistors. 5 Heterojunction Field-Effect Transistors. 1 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2 1 Introduction Of course, our electronics-oriented activities would not be possible if the supply of electricity were curtailed. This could happen, either by the exhaustion of the Earth’s store of fossil fuels, or by the threat to our habitable environment that the extraction and use of them entails. Alternate, and renewable, forms of electrical energy generation are desirable; photovoltaics, using semiconductor diodes as solar cells, is an attractive proposition. How solar cells work is described in this book. We look at traditional Si cells, and at both thin-film cells and tandem cells for possible implementation in the future. You may knowthat about 20%of the world’s energy consumption goes into producing light. Glance up at the incandescent light bulb that is illuminating your room: it’s so inefficient that if you had a few of them in use, then you probably wouldn’t need to heat your study in winter! Again, some alternative is needed; LEDs 6 using diodes made from compound semiconductors are beginning to make an impact in this area. We describe how high-brightness LEDs work, and look at ways of producing white light. To understand the operation of all these transistors and diodes, and to provide the knowledge base that will enable you to understand new devices as they appear, and to design better devices yourself, a solid, physical understanding of semiconductors must be attained. The first part of this book is devoted to this. The emphasis is on Quantum Mechanics, as this branch of physics is needed increasingly to understand transistors as they move from the micro- to the nano-realm, and also, of course, to understand interactions between electrons and holes and photons in optoelectronic diodes. The book ends with a brief look at cylindrical nanotransistors, the future development of which may perhaps involve you? Enjoy the book! 6 Light-Emitting Diodes. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2 Energy band basics Louis de Broglie, in his Ph.D. thesis of 1924, postulated that every object that has momentum p also has a wavelength λ: p = h λ . (2.1) where h is Planck’s constant. Macroscopic objects of our everyday experience have extremely short wavelengths, so they are invariably viewed as particles, with a point mass and an observable trajectory. Contrarily, microscopic objects can have much longer wavelengths, and may do wave-like things, such as diffract around other microscopic objects. Electrons and atoms are microscopic objects, so when we need to consider them both together we must take a quantum-mechanical, rather than a classical, approach. This is what we do in this chapter. Our initial goal is to develop the concept of energy bands, representing ranges of permissible energies for electrons within a solid. We then seek to provide an understanding of related concepts that are used throughout this book: electron states, crystal momentum, band structure, holes, effective mass, energy band diagrams. These objectives are most directly arrived at from a consideration of the periodic nature of the potential through which the electrons would move in a perfectly crystalline material. 2.1 Periodic structures Crystalline structures are based on a matrix of points called a Bravais lattice. For the Group IV semiconductors and most of the III-V semiconductors that are considered in this book, the Bravais lattice is the face-centred cubic lattice. To this underlying structure are added the actual atoms that constitute the basis of a particular material. The basis for Si, Ge, GaAs, InP, for example, comprises two atoms, which are shown as any neighbouring pair of shaded and unshaded atoms in Fig. 2.1. Each atom occupies a site on a face-centred cubic lattice, so the actual structure comprises two, interpenetrating, face-centred cubic lattices. When the two atoms are the same, as in the elemental semiconductors Si and Ge, the structure is called diamond. When the two atoms are different, e.g., Ga and As, the structure is referred to as sphalerite or zinc blende. The bonding of atoms in these structures is tetragonal, as shown by the linkages in Fig. 2.1. Instead of trying to deal with the countless numbers of atoms that comprise an actual piece of crystalline material, it is often convenient to capture the structural essence of a 3 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 4 2 Energy band basics a y x z Figure 2.1 The diamond and sphalerite crystal structure. There are two, interpenetrating, face-centred cubic (FCC) lattices, one comprising the shaded atoms and the other comprising the unshaded atoms. The corresponding points in each FCC lattice are displaced by a 4 ( ˆ x ÷ ˆ y ÷ ˆ z), where a, the lattice constant, is the length of the side of the cube. Adapted from Sze [1], C _John Wiley & Sons, Inc. 1985, reproduced with permission. crystal in its primitive unit cell, or, simply, primitive cell. This is a volume, containing precisely one lattice point, from which, by appropriate rotations and translations, the space of the Bravais lattice can be exactly filled. There is no unique primitive unit cell for a given Bravais lattice, and one of them is shown by the dashed lines in Fig. 2.1. Another primitive unit cell is the Wigner-Seitz primitive cell, the construction of which is illustrated in Fig. 2.2 for a simple face-centred rectangular matrix of unshaded atoms. The primitive unit cell in this case is a hexagon, which also contains one of the shaded atoms froman identical matrix of atoms. Thus, this particular crystal structure has a basis of two. For a real 3-D crystal the lines between nearest-neighbour atoms are bisected by planes; and for the face-centred cubic lattice the Wigner-Seitz cell is a rhombic dodecahedron [2, Fig. 1.8b]. 2.2 Periodic potential To illustrate the relationship between energy and momentum in a crystalline material, we consider a ‘toy’ structure comprising a one-dimensional array of primitive cells, with each cell having a basis of unity, and the atom being monovalent (see Fig. 2.3a). The potential energy of a single electron due to Coulombic interaction with the ion cores of the monovalent atoms is shown in Fig. 2.3b. However, we are not interested here in the precise form of the potential energy: we are only concerned with its periodicity. Therefore, we reduce the potential-energy profile to the delta-function representation shown in Fig. 2.3d. Don’t be alarmed that the last profile might not be very realistic: P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.2 Periodic potential 5 Figure 2.2 Example of a 2-D crystal comprising simple face-centred rectangular arrays of unshaded and shaded atoms. The Wigner-Seitz primitive unit cell is shown by the solid lines. These lines connect the perpendicular bisectors of the lines joining one unshaded atom to each neighbouring unshaded atom. One atom from the shaded array falls within the primitive unit cell; thus, this crystal structure has a basis of two atoms. (a) (b) (c) (d) x P o t e n t i a l e n e r g y o f e l e c t r o n x + + + 0 2a −a a Figure 2.3 (a) 1-D periodic array of primitive cells, each cell containing one monovalent atom. (b) 1-D Coulombic potential energy for an electron in the 1-D array. Dashed lines are the potential energies due to a single ion core. Solid lines are the total potential energy. (c) 1-D square well representation of (b). (d) 1-D delta-function representation of (c). even Fig. 2.3b is inaccurate, as it omits effects such as: the potential energy of an electron due to the proximity of other electrons; the different spacing between atoms in different directions of the real (3-D) crystal; and the possible presence of dissimilar elements in the crystal, e.g., as in compound semiconductors, such as GaAs. The important fact is that any periodic potential leads to the revelation of energy bands, and, therefore, will do P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6 2 Energy band basics for our present purpose. 1 The profile in Fig. 2.3d, comprising N delta-function potential barriers spaced a apart, can be expressed as U(x) = β N−1 l=0 δ(x −la) . (2.2) where δ(x) is the Dirac delta function and β is some constant. 2 2.3 Schr ¨ odinger’s equation When considering the fine details of an electron’s motion in a solid, we need to consider its wave-like nature. The appropriate equation is the Schr¨ odinger Wave Equation, which was originally postulated in 1925 to provide a formal description of the experimentally observed, discrete frequencies of light emission froman excited hydrogen atom. You can have confidence in the equation because, in the intervening 80÷years, no experiments have been reported that give results contrary to the predictions of the equation. The form of the equation of interest to us here is the time-independent Schr¨ odinger Wave Equation, i.e., in one dimension, − 2 2m 0 d 2 ψ(x) dx 2 ÷U(x)ψ(x) = Eψ(x) . (2.3) where m 0 is the electron rest mass, ψ(x) is the position-dependent part of the electron wavefunction +(x. t ), U is the potential energy and E is the total energy. 3 Thinking in terms of conservation of energy, it can be seen that the first term in (2.3) must relate to kinetic energy. Often, the first two terms are grouped together and described as the Hamiltonian of the system Hψ = Eψ . (2.4) where the Hamiltonian H operates on the wavefunction to describe the total energy of the system. Niels Bohr’s statistical interpretation of the wavefunction is particularly helpful in getting a feeling for what + really is: + + ∗ dx ≡ [+(x. t )[ 2 dx is the probability of finding the electron between x and (x ÷dx) at time t . 4 If the electron is somewhere in x (1-D case), then it follows that _ ÷∞ −∞ [+(x. t )[ 2 dx = 1. Equivalently, _ ÷∞ −∞ [ψ(x)[ 2 dx = 1. Thus, +(x. t ) and ψ(x) enable us to compute the probability of finding an electron 1 If you insist on giving some physical significance to the potential profile in Fig. 2.3d, then you may wish to view the electron as being largely confined to the vicinity of an atom, but having some probability of tunnelling to a neighbouring, identical, region through a thin potential barrier. 2 The property of the delta function that is relevant here is: δ(y) = 0 if y ,= 0, and δ(y) = ∞if y = 0. 3 This equation follows from the full, time-dependent Schr¨ odinger Wave Equation, which describes the full wavefunction, i.e., in the 1-D case, +(x. t ). In all our work we will take the potential energy to be independent of time. This allows the full equation to be solved by the method of Separation of Variables, for which solutions are simply: +(x. t ) = ψ(x) f (t ), where f (t ) = exp(−i Et ,) and E = ω. Thus, we can solve (2.3) for ψ(x), and then always multiply by f (t ) to get the full time dependence if we need it. 4 The superscript * denotes the complex conjugate. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.4 Energy bands 7 somewhere in space at some time. This is how quantum mechanics works: it deals in probabilities. This is not an inadequacy of the theory; it is a description of how Nature appears to work at the level of very tiny entities. 2.4 Energy bands Consider the periodic delta-function potential in Fig. 2.3d. Here, we use it to develop an understanding of energy bands, closely following the treatment of Griffiths [3]. In the region 0 - x - a the potential energy is zero, so, from (2.3) d 2 ψ dx 2 ÷ g 2 ψ(x) = 0 . (2.5) where g = √ 2m 0 E . (2.6) The general solution is ψ(x) = A sin(gx) ÷ B cos(gx). (0 - x - a) . (2.7) A and B are constants that need to be evaluated by considering the boundary conditions. The general rules are: r ψ must be continuous at a boundary; r dψ,dx must be continuous at a boundary, except when the potential energy goes to infinity. 5 In our problemwe have lots of boundaries, and at each one U →∞. Fortunately, because of the periodic nature of the potential, we can reach a solution quite easily by appealing to Bloch’s Theorem, which states that for a periodic potential U(x ÷a) = U(x), the solutions to Schr¨ odinger’s equation satisfy ψ k (x) = u k (x)e i kx . (2.8) where u k (x) has the periodicity of the lattice, and the subscript k indicates that u(x) has different functional forms for different values of the Bloch wavenumber k. Note that if u is not periodic but is a constant, then the Bloch wave becomes a plane wave. Therefore, a Bloch wave, given by (2.8), is a plane wave modulated by a function that has the periodicity of the lattice. An alternative way of stating Bloch’s Theorem follows from (2.8), namely ψ k (x ÷a) = e i ka ψ k (x) . (2.9) 5 If there is a discontinuity in dψ,dx, then the kinetic-energy term in (2.3) →∞, but the equation is still satisfied if U →∞. When we resort to the ‘Effective-mass Schr¨ odinger Wave Equation’, the boundary condition for the derivative of ψ must also include what we shall call the effective mass, if this property changes across the boundary (see Section 2.11). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 8 2 Energy band basics Note that this equation does not state that ψ k (x) is periodic, but it does lead to [ψ k (x)[ 2 being periodic. The latter is comforting because one would expect an electron to have an equal probability of being at any of the identical sites in the linear array. The periodicity breaks down at the edges of the crystal, but that shouldn’t have a significant effect on the electrons deep within the crystal if the array is very long compared to the separation between atoms, i.e., if N, the number of primitive cells, is very large. Mathematically, we can impose complete periodicity by bending the array into a circle so that x = −a follows x = (N −2)a in Fig. 2.3d. We then have a convenient, so-called periodic boundary condition: ψ k (x ÷ Na) = ψ k (x) . (2.10) Using this in (2.9), yields e i kNa ψ k (x) = ψ k (x) . (2.11) from which it is clear that k = 2πn Na . (n = 0. ±1. ±2. ±3. · · ·) . (2.12) where n is an integer. (2.9) can now be used to obtain the wavefunction in the region −a - x - 0 of Fig. 2.3d: ψ k (x) = e −i ka [ A sin g(x ÷a) ÷ B cos g(x ÷a)]. (−a - x - 0) . (2.13) Now that we have expressions for the wavefunctions in adjoining regions we can use the matching conditions for ψ and dψ,dx to evaluate or eliminate the constants A and B. Matching the wavefunctions at x = 0 gives B = e −i ka [ A sin(ga) ÷ B cos(ga)] . (2.14) Because of the delta function, the derivative of ψ is not continuous at x = 0, so we need to find the discontinuity in order to get another expression linking A and B. For U(x) = βδ(x), which comes from (2.2), the discontinuity is L( dψ dx ) = 2m 0 β 2 ψ(0) . 6 (2.15) Thus, it follows from the derivatives of ψ at x = 0 that gA −e −i ka g[ A cos(ga) − B sin(ga)] = 2m 0 β 2 B . (2.16) 6 To obtain this, integrate Schr¨ odinger’s equation over a tiny interval spanning x = 0. The integral of the d 2 ψ,dx 2 term is precisely the discontinuity we seek. It is equal to the integrals over the Eψ and Uψ terms. In the former term E is a constant and ψ is finite, so integrating over an infinitesimal interval gives zero. The same would usually be true for the Uψ term, but because U = ∞at x = 0, the integral is finite and equals βψ(0), where we have used another property of the delta function: _ ∞ −∞ δ(x) dx = 1. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.4 Energy bands 9 −6 −4 −2 0 2 4 6 −4 −2 0 2 4 6 8 10 12 ga/p c o s ( g a ) + 1 0 s i n ( g a ) / g a Figure 2.4 Plot of (2.17) for _ m 0 βa 2 ¸ = 10, showing the allowed values of ga, i.e., those within the dashed lines. The forbidden values of ga lie in the areas outside the dashed lines. From (2.14) and (2.16), after some manipulation, an expression devoid of A and B results: cos(ka) = cos(ga) ÷ _ m 0 βa 2 _ sin(ga) ga . (2.17) This key equation unlocks the secret of bands: the right-hand side is a function of ga, and g is a function of the energy E from (2.6), but the left-hand side decrees that f (ga) must be bounded by ±1. Thus, values of E are only allowed when −1 ≤ f (ga) ≤ 1. This is illustrated by the plot of (2.17) in Fig. 2.4. Note that this figure is arbitrarily truncated at g = 6π,a, but, in reality, g could be extended indefinitely; thus, there are an infinite number of ranges of allowed energy, each one of which is called an energy band. The energy bands corresponding to the allowed values of ga, and the forbidden regions (bandgaps) separating the bands, are usually displayed on a plot of energy E versus Bloch wavevector k. The version shown in Fig. 2.5 is known as an extended- zone plot. The first zone spans the range −π,a - k - π,a; the second zone is split into two: −2π,a - k - −π,a and π,a - k - 2π,a; etc. Thus each zone extends over a range of 2π,a in k. From (2.12), it is seen that the corresponding range in n is N, the number of primitive calls. As the latter number will be usually very large in semiconductor devices, the separation of neighbouring k values (=2π,Na), is so small that the E-k relation appears continuous within a band. An E-k plot is often interpreted as an energy-momentumrelationship. This is because, from (2.1), momentum can be written as k, where = h,2π is Dirac’s constant, and k = 2π,λ is the general relationship between wavelength and wavevector. For the specific case of a Bloch wavevector, k is called the crystal momentum. The crystal P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10 2 Energy band basics −5 −4 −3 −2 −1 0 1 2 3 4 5 0 5 10 15 20 25 ka/p E / ( h 2 / 8 m 0 a 2 ) Figure 2.5 ‘Extended-zone’ plot of energy (from Fig. 2.4 and Equation (2.6)) for the first five allowed energy bands. For example, the first band of ga runs from ga = 0.83π to ga = 1.00π (see Fig. 2.4). This range of ga values, and their negatives, are then used in (2.6) to obtain the first allowed band of energies. The corresponding ka range for the first band is −π - ka - π. The parabola shown by the dashed curve is the E-k relation for a free electron. Note how the allowed bands become closer to this parabola as the energy increases, indicating the increasing ‘freedom’ of the higher energy electrons. momentum is not the actual mechanical momentum of the electron: it is the momentum of the electron due to the action of applied forces, as we show in Section 2.9. 2.5 Reduced-zone plot An alternative way of displaying the E-k relationship is to compress all of its information into the first zone. This is achieved by horizontally shifting each of the curves from the higher order zones in the extended-zone plot by an appropriate multiple of 2π,a. For example, consider the positive wavevectors in the 4th and 5th zones, i.e., 3π,a - k - 5π,a. Now, write the wavevector as k = 4π a ÷k / . (2.18) where the new wavevector k / is constrained to −π,a ≤ k / ≤ π,a, i.e., to the first zone. The Bloch wavefunction from (2.8) then becomes ψ k (x) = u k (x)e i 4πx,a e i k / x ≡ u / k (x)e i k / x = ψ k / (x) . (2.19) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.6 Origin of the bandgaps 11 −1 −0.5 0 0.5 1 0 5 10 15 20 25 ka/p E / ( h 2 / 8 m 0 a 2 ) Figure 2.6 Reduced-zone plot of energy (from Fig. 2.4 and Equation (2.6)), for the case of N = 10. The ten crystal momentum states in each band are shown. The terms exp(i 4πx,a) and u k (x) have the same period a, so they have been amalga- mated into a newperiodic function u / k (x). The changes to u k and to k are complementary in that they leave the wavefunction unchanged. In our example, the shift in k of 4π,a takes the band of the 4th zone (positive k) to the range −π,a - k / - 0, and the 5th band to 0 - k / - π,a. The bands in the new scheme are completed by similar operations on the corresponding, negative-k portions of the 4th and 5th bands from the extended-zone plot. Similar actions, with translations of appropriate multiples of 2π,a, bring all of the other bands into the first zone. The resulting plot is called a reduced-zone plot, an example of which is shown in Fig. 2.6. The first zone, which now contains all the bands, is called the first Brillouin zone, or often just the Brillouin zone. In the reduced-zone plot k is properly called the reduced crystal momentum. 2.6 Origin of the bandgaps We have seen how energy bandgaps arise from a mathematical treatment of a periodic structure. For a physical explanation, consider a beam of electrons of wavelength λ propagating through our 1-D lattice, and imagine that there is scattering of the beam from two neighbouring lattice sites. The two portions of the reflected beam would reinforce constructively if the Bragg condition for normal incidence were satisfied, i.e., 2a = bλ . (2.20) where a is the spacing between lattice sites and b = 1. 2. 3. · · · is an integer. Further Bragg reflections would lead to our beam bouncing around in the crystal, being reflected back and forth, and taking-on the property of a standing wave, rather than that of a P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 12 2 Energy band basics propagating wave. The wavevectors at which this would occur are k = ± 2π λ = ± bπ a ≡ ± 1 2 G b . (2.21) Thus, energy bandgaps, within which there are no propagating waves, open up at the Brillouin-zone boundaries because of the strong Bragg reflection. In (2.21), G b = b2π,a is a set of multiples of 2π and the reciprocal of the lattice spacing a. Collectively, the multiples are called reciprocal lattice numbers, and become vectors in 2-Dand 3-Dsystems. The translation numbers used to obtain the reduced-zone plot from the extended-zone plot can now be seen to be reciprocal lattice numbers. 2.7 Quantum states and material classification The reduced-zone plot of Fig. 2.6 has been drawn for the particular case of ten monovalent primitive unit cells (N = 10). Because we are considering a reduced-zone plot, [k max [ = π,a, so n is restricted, from (2.12), to [n max [ = N,2. The allowed values of ka,π (from (2.12)) are, therefore: 0. ±0.2. ±0.4. ±0.6. ±0.8. ±1.0. These are then used to solve (2.17) for the corresponding ga, fromwhich the allowed energies followfrom(2.6). Each circle on the plot of Fig. 2.6 corresponds to a particular value of n, the quantum number defining the allowed values of k in (2.12). Thus, n designates a state of reduced crystal momentum that can be occupied by an electron. Note that the end-values, n = ±N,2 in (2.12), are one and the same point, so that the total number of distinct n numbers in the reduced-zone scheme is precisely equal to N, the number of points in our lattice of primitive unit cells. In fact, each reduced-crystal-momentum state can be occupied by two electrons, providing that they have opposite spin. This is a manifestation of Pauli’s Exclusion Principle, which observes that no two electrons can have the same quantum numbers. The quantum number for electron spin is ± 1 2 and, so far, we have one quantum num- ber (n) for the crystal momentum. In the reduced-zone scheme, where n is restricted to values between −N,2 and N,2, we need another number to distinguish between states with the same value of reduced wavevector, but with different values of energy. This number is called the band index. In Fig. 2.6, the band index runs upwards from 1 to 5. Thus, each band contains 2N states, where N is the number of primitive unit cells that form the real crystal lattice. For the case of a primitive cell containing a single atom that is monovalent, there will be N valence electrons. At temperature T = 0 K these electrons will occupy the bottom half of the first band. If there were 2 valence electrons per primitive cell, the entire first band would be occupied at 0 K. More generally, bands will be either completely filled or completely empty if there is an even number of electrons in the primitive unit cell. The highest fully occupied band at 0 K is called the valence band, and the lowest unfilled band at 0 K is called the conduction band. The energy gap between these bands is called the bandgap, and is designated E g . P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.8 Band structure of real semiconductors 13 When a band is completely full, a filled state with crystal momentum÷k is matched by a filled state with crystal momentum −k. Thus, there is no net crystal momentum. 7 We have already alluded to the fact that crystal momentumis the electron momentumdue to external forces, such as an applied electric field: therefore, there can be no net motion of charge carriers, i.e., no current, no matter how high the applied field is, provided the electrons stay in the full band. Now, let us put some thermal energy into the systemby increasing T. In the monovalent case the electrons can respond to this stimulus by moving into allowed states of higher energy and crystal momentum within the half-full first band. If an electric field were also applied, electrons could be accelerated into states of higher crystal momentum, and there would be a current. This is the case for most metals. In the divalent case, the only possibility for getting a net gain in crystal momentum would be if some electrons could somehowacquire enough energy to cross the forbidden energy bandgap and then populate some of the states in the empty second band, in which they would then be ‘free’ to gain crystal momentum from an applied field. If this bandgap is very large, it is unlikely that electrons can be excited into it, and so we have an insulator. If the bandgap is not too large, some electrons can be excited into the conduction band, and we have a semiconductor. Typically, useful semiconductors have a bandgap in the range 0.5–3.5 eV. For silicon, the dominant semiconductor material, the atoms are arranged in the diamond lattice structure, as shown in Fig. 2.1, and the primitive unit cell comprises 2 atoms, each of which has 4 valence electrons. Thus, in the entire material there are 8N valence electrons; at 0 K these would fill-up the first 4 bands. Therefore, in Si, the gap between the 4th and 5th bands is the bandgap: its value is E g = 1.12 eV at 300 K. 2.8 Band structure of real semiconductors In our simple 1-D example, a reciprocal lattice number G b was introduced, and its magnitude was some multiple of 2π divided by the spacing between primitive unit cells in a linear array. Thus, the reciprocal lattice number can be envisaged as residing in reciprocal space, which, in this simple case, consists of a linear array of points separated by 2π,a, where a is the spacing of primitive unit cells in the direct lattice, or in real space. In 3-D, the primitive unit cell in real space becomes a volume, and we have reciprocal lattice vectors which have a magnitude of some multiple of 2π divided by the spacing between planes of atoms. The direction of the reciprocal lattice vector in reciprocal space is perpendicular to that of the planes in real space [4]. The primitive unit cell in reciprocal space for the face-centred cubic lattice in real space is a truncated octahedron (see Fig. 2.7). The Cartesian axes refer to directions of the Bloch wavevector k. As stated above, these directions are perpendicular to planes in the direct lattice, so it is reasonable to give them the same designation as is used for 7 Strictly speaking, if we are alluding to the reduced-zone plot, we should be talking about reduced crystal momentum, but, for brevity, we don’t always make this distinction. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14 2 Energy band basics k z k y k x K ∆ Γ Λ Σ W X U L Figure 2.7 The Brillouin zone, or the primitive unit cell in reciprocal space, for the real-space face-centred-cubic lattice. Various symmetry points are labelled. Courtesy of John Davies, University of Glasgow. z x (111) plane y n = (1,1,1) ˆ Figure 2.8 Real-space diamond structure, with the (111) plane highlighted. Reproduced from Pulfrey and Tarr [5]. the normals to crystal planes. The notation is that of Miller indices, and is illustrated in Fig. 2.8 for the diamond/sphalerite structure. For example, in the natural Cartesian coordinate systemof the direct lattice, as illustrated in Fig. 2.1, the (100) plane intersects the x-,y-,z-axes at a. ∞. ∞, respectively. The latter set becomes (100) by taking the reciprocal of each intercept and reducing to integer values. The normal to this plane is specified by the same set of numbers, but with a different parenthesis, i.e., [100]. Because the labeling of the axes is arbitrary, surfaces such as (−1,0,0) and (0,1,0) should have exactly the same properties as (100) surfaces. Collectively, such surfaces are denoted {100], and equivalent normal directions as ¸100). Thus, in reciprocal space, P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.8 Band structure of real semiconductors 15 4 0 −4 −8 E n e r g y ( e V ) E n e r g y ( e V ) −12 4 −4 −8 −12 L L X X U, K U,K Λ Λ Γ Σ Γ ∆ Γ Σ Γ ∆ 0 K K (a) Si (b) Ge (c) GaAs (d) A1As Figure 2.9 Real band structure of four semiconductors widely used in transistors and diodes: (a) Si, (b) Ge, (c) GaAs, (d) AlAs. From Davies [7, Fig. 2.16], C _Cambridge University Press 1998, reproduced with permission. Original data from G.P. Srivastava, University of Exeter. [100], for example, denotes the normal to the (k x . k y . k z )-surface that has intercepts in reciprocal space of (G 1.x . ∞. ∞). On Fig. 2.7 this direction is from the origin of k-space at the so-called I-point out through the centre of the square surface at the so-called X-point. These point symbols come from Group Theory. The other direction of interest to us for the transistors and diodes discussed in this book is the [111] direction, which passes fromI to L at the centre of the hexagonal faces of the reciprocal lattice unit cell; in the direct lattice it is the normal to the plane that is highlighted in Fig. 2.8. The band structure for the 3-D case is not as easily arrived at as in the 1-D case. Numerical calculations are necessary, and a clear example of one particular method is given by Datta [6]. Results of detailed calculations for some common semiconductor materials are shown in Fig. 2.9. Note, firstly, the similarity around the I-point of the lowest three bands for all of these materials. These are the valence bands and they are similar because they relate to the similar bonding coordination of the diamond and sphalerite structures. In crystals of Si and GaAs, for example, the orbitals of the valence electrons hybridize (3sp 3 in Si and 4sp 3 in GaAs). The lowest band retains some of the symmetrical character of the atomic s-orbitals. The remaining valence bands are more directional, and derive more from the three atomic p-orbitals. Only two higher valence bands appear in Fig. 2.9 because the highest is actually two bands with the same P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16 2 Energy band basics E-k relationship: they are said to be doubly degenerate. Thus there are, in fact, four valence bands, as required to accept the 8N electrons of the N primitive unit cells for these materials. When the magnetic moment of the spinning electrons and the angular momentum due to their orbital motion is taken into account, this spin-orbit coupling lowers the energy of one of the degenerate bands: the splitting is slight in Si (0.04 eV) and larger in GaAs (0.34 eV). The conduction bands for Si and GaAs are noticeably different: the atomic-orbital- character of the electrons is lost because the wavefunctions of the conduction electrons are not required to yield a high probability density in the immediate locality of the atoms, i.e., conduction electrons are ‘freer’ than valence electrons. To construct a conduction band in the [100] direction, for example, start at the I- point and move through the zone to the X-point. There will be an energy gap at this boundary of the zone, and a new conduction band will fold back into the zone, just as we determined in our 1-D example. However, the 3-D situation is complicated by the fact that bands in a particular zone can arise due to wavevectors arriving at the zone boundary by various routes. For example, with reference to Fig. 2.7, starting at the origin again, and moving to the K-point, proceeding to W and then to X would produce a state at X with a different energy to that of the state arising from the direct path of I-X. Starting at this new state and then proceeding directly to I produces another band in the [100] direction. Thus, the conduction-band structure is very complicated, with overlapping bands and some degeneracies. Fortunately, the region of greatest interest for the transistors and diodes considered in this book is centred around the bottom of the lowest conduction band. In GaAs, this occurs at the I-point, and is non-degenerate, so the conduction band is isotropic in k-space. As the valence band extrema occur at the same value of k, GaAs is said to have a direct bandgap. In Si, the lowest minimum of the conduction bands occurs at a point that is about 80% of 1 2 G 100 , where G 100 is the reciprocal lattice vector for the first band in the [100] direction. Recall that its length is 2π divided by the spacing between (100) planes, which is a,2 in the face-centred cubic structure, i.e., [k 100 [ max = 2π,a. The band minimum does not occur at the same value of crystal momentum as the extremum in the valence band: thus, Si is an example of an indirect bandgap material. Finally, in Fig. 2.7, focus on the point on the k x axis where the conduction-band minimum occurs in Si. Now, move away from this point in any perpendicular direction. The edges of the Brillouin zone are equidistant from the point in these perpendicular directions, but the zone lengths are different from that in the I-X direction. Thus, the bottom of the conduction band in Si is anisotropic in k-space. 2.9 Crystal momentum and effective mass In Section 2.5 we hinted at a relationship between an electron’s crystal momentum and an external force that may be acting on it. Here, we derive this relationship and, along the way, define the very useful concept of the effective mass of a mobile charge carrier. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.9 Crystal momentum and effective mass 17 Consider the 1-D case of an electron in either the conduction band or the partially filled valence band and subject to an external force F x. ext , which could be due to an applied electric field E, for example. 8 The electron gains energy fromthe field according to dE dt = F x. ext dx dt = F x. ext : x . (2.22) where : x is the velocity in the x-direction. The question arises: what is the appropriate velocity? So far we have described electrons in a crystal via Bloch wavefunctions. These tell us that the probability of finding an electron at some point in a primitive unit cell is the same for all of the primitive unit cells of the crystal. This is not too helpful if we wish to have a better idea of where the electron is in the actual semiconductor device. We would expect to need such information when considering the effect of external forces applied to an actual semiconductor device. For example, whether a photo-excited electron is in the quasi- neutral- or depletion-region of a solar cell is important to know regarding the likelihood of that electron contributing to the photocurrent. 9 While a single wavefunction doesn’t give us precise spatial information about the electron, it does give us the electron’s crystal momentum, via the wavevector k. By superposing waves of slightly different k, a wavepacket can be constructed: the wider the range of k’s used, the more tightly constrained in space the wavepacket will be, and the more the electron will appear to have mass at a point, i.e., to be particle-like. The electron can then be treated classically, and be endowed with a trajectory, which is obviously helpful when following an electron through a device. Thus, the velocity to use in (2.22) is the velocity of the centre of the wavepacket: the group velocity. Recall that, from general wave theory, : group = dω,dk, where the angular frequency ω is related to the energy by E = ω. Therefore, in our case, where we have a 1-D Bloch wavevector k in the x- direction, : x = 1 dE dk x . (2.23) Substituting into (2.22) and using dE dt = dE dk x dk x dt . (2.24) we arrive at F x. ext = d(k x ) dt . (2.25) This is an amazing result: it tells us that k behaves as the momentum for external forces applied to an electron moving through a periodic structure! In other words, we don’t have to know the actual, mechanical momentum of the electron, which will change periodically in response to the crystal field. Instead, the response to an external force can 8 F = −qE for an electron. 9 The terms ‘quasi-neutral region’ and ‘depletion region’ are explained in Chapter 6. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18 2 Energy band basics Table 2.1 Some band parameters for Si and GaAs. The effective masses are for T = 4 K, and are taken from Pierret [8]. The values for A, B, and C are from Reggiani [9]. E g m ∗ e m ∗ l m ∗ t m ∗ hh m ∗ lh A B C Semiconductor (eV) (m 0 ) (m 0 ) (m 0 ) (m 0 ) (m 0 ) Si 1.12 0.92 0.19 0.54 0.15 4.22 0.78 4.80 GaAs 1.42 0.067 0.51 0.08 7.65 4.82 7.71 be simply calculated from a consideration of only the time-dependence of the crystal momentum. To make use of this remarkable fact, consider the acceleration of the electron (again using 1-D for simplicity) a x = d: x dt = 1 d 2 E dk 2 x dk x dt = 1 2 d 2 E dk 2 x d(k x ) dt . (2.26) Using (2.25), leads to a x = _ 1 2 d 2 E dk 2 x _ F x. ext . (2.27) This equation has the familiar form of Newton’s Second Law of Motion, allowing us to associate a mass, which is called the effective mass m ∗ (E), with the bracketed term in (2.27), i.e., for our 1-D case m ∗ x (E) = _ 1 2 d 2 E dk 2 x _ −1 . (2.28) Evidently, m ∗ (E) depends on the direction, and in multi-dimension systems is, in fact, a tensor [2, p.66]. Also, because m ∗ (E) depends on the band structure, which depends on the potential energy environment of the crystal, the effective mass is not expected to be equal to the free-electron mass m 0 . Some values are given in Table 2.1 at the end of Section 2.10. To emphasize the key point of this section: an electron moving under the combined influence of an externally applied force and the forces associated with the lattice ion cores, responds to the external force just as if it were a free particle, but with a mass that is determined by the band structure of the host material. This means that, once we know the band structure of a semiconductor, we can compute m ∗ (E), and, thereafter, not concern ourselves about the internal details of how the potential varies according to the electron-ion core interactions. 2.9.1 Negative effective mass From (2.28) we see that m ∗ (E) is positive at the bottom of bands, i.e., where the E-k relation is concave upwards, and negative at the top of bands, where the E-k relation is convex upwards. Let us deal with the conduction band first. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.9 Crystal momentum and effective mass 19 H o l e e n e r g y (b) (a) E l e c t r o n e n e r g y Figure 2.10 Hole energy. (a) Excitation of an electron to a higher energy state in the valence band. (b) After the excitation, the hole can be viewed as having gained energy, i.e., the hole energy increases downwards. The x-variable is k x . At the bottom of the conduction band m ∗ (E) is positive, so a positive force causes a positive change in crystal momentum, i.e., the electron accelerates in the direction of the applied force. However, as the electron moves up the band, it passes through a crystal momentumstate at which m ∗ (E) becomes infinite and, thereafter, is negative. The transition frompositive to negative effective mass marks the point where the acceleration due to the external force is overcome by the increasing Bragg reflection of the Bloch waves as the Brillouin-zone boundary is approached. In other words, the momentum transfer from the applied force to the electron becomes less than the momentum transfer from the lattice to the electron. As we point out in Section 4.1, the conduction-band electrons usually don’t enter this part of the zone, so these electrons can usually be relied upon to stay near the bottom of the band, and to accelerate in the direction of the applied force. In the valence band, however, it is the top of the band that is most important regarding the motion of charge carriers. In order for there to be a net change in crystal momentum of the elecrons in the valence band, there must be empty states in the band into which the electrons can move. How such empty states can arise is discussed in Chapter 3, but it can be appreciated that they will exist near the top of the band because the electrons will tend to gravitate to their lowest possible energy states (see Fig. 2.10a). The empty states near the top of the valence band are called holes. If an electron is somehowexcited into one of these empty states, an empty state will appear lower down in the band (see Fig. 2.10b). This exchange can be thought of as giving energy to the hole, i.e., the hole energy increases in the downwards direction of the E-k diagram, which is invariably drawn from the perspective of electrons. This means that, from the hole point of view, (d 2 E,dk 2 ) > 0, and the hole effective mass is positive near the top of the band. Thus, holes accelerate in the same direction as the applied external force, just like ‘normal’ objects. For this reason, and for the fact that it is easier to keep track of the movement of a relatively few number of holes, rather than of the large number of electrons in P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 20 2 Energy band basics the valence band, we choose to designate charge conduction in the valence band as being due solely to holes. The situation then becomes analogous to that near the bottom of the conduction band, where there are positive-effective-mass electrons moving in a predominantly empty band. From now on, when we talk of conduction by electrons we are implicity referring to the lower regions of the conduction band. The top of the valence band is the domain of holes, and we consider them to represent the carriers of current in this band. 2.9.2 Hole polarity Although the two types of charge carrier discussed above have positive effective mass, they have a different polarity of charge. To see this, imagine that we have an intrinsic semiconductor with a full valence band and an empty conduction band: the material is neutral as the electron charge balances the charge of the atomic cores _ O (−qn i.VB ÷q A) dO = 0 . (2.29) where q = 1.602 10 −19 C is the magnitude of the electronic charge, n i.VB and A are the concentrations of electrons in the valence band and of atoms, respectively, and O is the volume of the material. Now, consider raising the temperature so that some electrons are excited fromthe valence band to the conduction band. The newvalence-band electron concentration is n / i.VB , and the charge equation becomes _ O (−qn / i VB −qn i ÷q A) dO = 0 _ O (q[ A −n / i VB ] −qn i ) dO = 0 _ O (qp i −qn i ) dO = 0 . (2.30) where p i is the concentration of holes in the valence band and n i is the concentration of electrons in the conduction band. Thus, a positive charge is associated with the holes. 2.9.3 Parabolic-band approximation Given that the regions of the E-k diagram near to the band extrema are of particular importance, we can anticipate that it would be useful for analytical purposes if the effective mass in these regions could be treated as a constant, rather than as being energy dependent. Inspection of (2.28) informs that a parabolic E-k relationship would yield such a constant effective mass. The E-k relationship for a free electron is truly parabolic, i.e., E = (k) 2 ,2m 0 . By analogy, for electrons near the bottom of the conduction band, and for holes near the top of the valence band, we write the kinetic energy as E − ˆ E = 2 k 2 2m ∗ . (2.31) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.10 Constant-energy surfaces 21 0 0.5 1 5 10 15 20 25 30 35 ka/p E / ( h 2 / 8 m a 2 ) Figure 2.11 Fitting of parabolae to the extrema of the 4th and 5th bands of Fig. 2.6. where ˆ E > 0 is the energy of the extremum of the appropriate band, and m ∗ is a constant near the extremum, and is called the parabolic-band effective mass. As an example, let us apply the parabolic-band approximation to the 4th and 5th bands from Fig. 2.6. The result is shown in Fig. 2.11, which gives an idea of the limited applicability of the approximation. However, the parabolic-band approximation is very useful because, in reality, it is in these regions that the charge carriers in which we are interested are found most often. For example, consider the upper band of Fig. 2.11, and imagine it to contain some electrons near the bottom of the band. If an electric field is now applied these electrons will gain crystal momentum and move ‘up’ the band. However, they will inevitably collide with the atoms of the lattice, thereby losing energy and momentum, and be returned to states near the bottom of the band. One further implication of the constant effective-mass description is that crystal momentumstates k can be viewed as velocity states. The conduction band, for example, can be envisaged as comprising electrons of higher and higher velocity as the band is populated from the bottom. This picture will prove extremely helpful when considering the injection of carriers into a semiconductor, and over various potential barriers in transistors and diodes. 2.10 Constant-energy surfaces In 3-D structures, the parabolic-effective-mass concept leads naturally to E − E C0 = 2 2 _ k 2 x m ∗ x ÷ k 2 y m ∗ y ÷ k 2 z m ∗ z _ . (2.32) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 22 2 Energy band basics Figure 2.12 The six equivalent constant-energy surfaces for some energy E > E C0 in the lowest conduction band of Si. On the right is a detail of one of the constant-energy surfaces, showing the longitudinal and transverse effective masses. From Davies [7, Fig. 2.19], C _Cambridge University Press 1998, reproduced with permission. where we have taken the example of the energy near the bottom of a conduction band for which the extremum is the energy ˆ E = E C0 . From Fig. 2.9 for Si, it can be seen that E C0 occurs about 80% of the way towards the X-points. In fact, because of the six-fold symmetry of the basically cubic lattice, there are six equivalent X-points. With reference to Fig. 2.12, consider the energy minimumin the direction to the right, and mark it as the k x -direction. Moving away from this energy minimum in either of the two orthogonal directions, the same k-space environment is encountered, but this environment is different from that in the k x -direction. Thus in Si, m ∗ y = m ∗ z ,= m ∗ x . Usually, m ∗ x is called the longitudinal effective mass (labelled m L in Fig. 2.12), and the other two are called the transverse effective mass (labelled m T in Fig. 2.12). Measurements of effective mass are obtained from cyclotron resonance experiments performed at very low temperatures. Commonly accepted values for 4 K are given in Table 2.1. The constant-energy surface around each of the six equivalent conduction band minima is a prolate spheroid. From Fig. 2.9 for the band structure of GaAs, it can be seen that E C0 occurs at the I-point. This is the central point of the Brillouin zone and, with reference to the primitive unit cell in reciprocal space for the diamond/sphalerite structure (Fig. 2.7), it can be seen that moving away from this point in the k x -direction, traverses exactly the same k-space environment as would be encountered on moving away fromthe I-point in the other two, orthogonal directions. Thus, for GaAs, at the bottom of the lowest conduction band, the parabolic effective mass is isotropic, i.e., m ∗ x = m ∗ y = m ∗ z . The actual value is 0.067m 0 . Thus, in this case, the surface in k-space for some value of E > E C0 is a sphere centred on the I-point. Turning now to the valence band, a complication arises in the cases of both GaAs and Si inasmuch as there are two bands with the same minimum hole energy ˆ E = E V0 . Due to this degeneracy, there are interactions between the electrons in each of the bands near the extremum, and approximations for the band structure beyond the order of the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.11 Effective-mass Schr ¨ odinger equation 23 k z k x k y k z k x k y Figure 2.13 Constant energy surfaces for holes in Si. Heavy holes on the left, and light holes on the right. Courtesy of Parham Yaghoobi, UBC. parabolic are necessary. It transpires that a good approximation is E(k) − E V0 = 2 2m 0 _ Ak 2 ∓ _ B 2 k 4 ÷C 2 (k 2 x k 2 y ÷k 2 y k 2 z ÷k 2 z k 2 x ) _ . (2.33) where the ÷ sign is for the light-hole band, i.e., the band with the greater convexity, and the − sign is for the heavy-hole band. Values for A, B, and C for GaAs and Si are given in Table 2.1. Examples of the constant energy surfaces are given in Fig. 2.13. The shape depends strongly on the energy, but usually the surfaces are described as those of warped spheres. Approximating them as actual spheres allows effective masses for the heavy and light holes to be identified, and these values are often used in calculations. Typical values for m ∗ hh and m ∗ lh at 4 K are given in Table 2.1. 2.11 Effective-mass Schr ¨ odinger equation As we have seen in Section 2.9, useful information about the band structure of a crystalline material is distilled into a single parameter, the effective mass m ∗ (E). Here, we state howthe parabolic-band effective mass m ∗ can be incorporated into the Schr¨ odinger Wave Equation, thereby simplifying this formidable equation in situations where the potential energy is a superposition of that due to the periodic lattice U L and some macroscopic, engineered potential energy U M . Examples of the latter are: the potential energy due to an applied electric field; the potential energy due to a variation in ionized impurities in the crystal, such as occurs in a p-n junction. In the presence of this additional potential energy U M (x), the time-independent Schr¨ odinger Wave Equation from (2.3) becomes _ − 2 2m 0 d 2 dx 2 ÷U L (x) _ ψ(x) ÷U M (x)ψ(x) = Eψ(x) . (2.34) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 24 2 Energy band basics where the square brackets denote the Hamiltonian for a single electron moving through a perfectly periodic crystal lattice, in which it experiences only the potential energy U L . The equation we now present assumes that the conduction-band energy can be described by a parabolic relationship E ν (k) = E C0 ÷ 2 k 2 2m ∗ . (2.35) where E C0 is the energy at the bottom of the band, and ν is the band index. 10 The new equation takes the microscopic details of the semiconductor into account via m ∗ and E C0 , and it is called the single-band, effective-mass Schr¨ odinger Wave Equation: _ − 2 2m ∗ d 2 dx 2 ÷U M (x) _ F(x) = (E − E C0 )F(x) . (2.36) where F is the envelope function of the actual wavefunction ψ; the two functions can be approximately related by ψ(x) = u k0 (x)F(x) . (2.37) where u 0 is the periodic part of the Bloch wavefunction, evaluated at the bottom of the conduction band, at which we have taken the Bloch wavevector to be k0. The conditions under which (2.37) is a reasonable solution to (2.34) are [10]: r Only one band is involved. This will obviously have to be relaxed for the valence band, at the top of which both heavy and light holes are present in separate bands. r u k is independent of k in the neighbourhood of k0. This condition stems from the need to attribute most of the variation in k of the Bloch wavefunction in the perfectly periodic case to the plane-wave part of the wavefunction. r F(x) varies slowly with x, i.e., when compared to the spatial variation of the potential energy U L (x) due to the periodicity of the crystal. r The parabolic-band effective mass is applicable. This means that electron energies must be restricted to near the bottom of the conduction band. r Information on the atomic-scale variation of the electron concentrations is not needed. This is because the sum of the probability densities of all the electrons F(x)F ∗ (x) involves the envelope functions, which produce a smoothed-out version of the true electron concentrations. The latter would be obtained from ψ(x)ψ ∗ (x), which would include atomic-level information, either by the use of the Bloch function in (2.37) after solving the effective-mass equation, or by direct use of the full-wave equation (2.34). Despite this seemingly very restrictive set of conditions, the single-band, effective-mass equation is widely employed, and can give insightful results, even when not all of the above conditions are strictly satisfied. 10 From hereon, we assume we are talking about the lowest band, so ν = 1 and we drop the band index. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 2.12 Energy-band diagram 25 2.11.1 Boundary conditions for the effective-mass equation The effective-mass Schr¨ odinger equation can be recast as − 2 2 d dx _ 1 m ∗ (x) dF dx _ ÷ E C (x)F(x) = EF(x) . (2.38) where E C (x) = U M (x) ÷ E C0 is defined following (2.41). No a-priori reason can be given for the greater correctness of this form of the equation than that of (2.36), but a posteriori there is good reason to choose (2.38): it suggests a boundary condition for the derivative of F that correctly conserves current (see Exercise 5.14). The boundary condition in question, taken to apply to the interface at x = 0 between two regions (1 and 2), is 1 m ∗ 1 (x) dF 1 dx ¸ ¸ ¸ ¸ x=0 = 1 m ∗ 2 (x) dF 2 dx ¸ ¸ ¸ ¸ x=0 . (2.39) The boundary condition for the envelope function itself is the same as for a true wave- function: F 1 (0) = F 2 (0) . (2.40) These boundary conditions are used elsewhere in the book when tunnelling is examined. 2.12 Energy-band diagram The band structure of a semiconductor gives information of the energy in k-space. Often, in diodes and transistors, we need information of the energy variation in real space. To convey this concisely, we return to the expression (2.35) for parabolic energy bands, and add to it the macroscopic potential energy E = U M (x) ÷ E C0 ÷ 2 k 2 2m ∗ ≡ E C (x) ÷ 2 k 2 2m ∗ . (2.41) where E C is the position-dependent conduction band potential energy, or, as it is usually called, the conduction-bandedge. 11 With this interpretation, 2 k 2 ,2m ∗ becomes the kinetic energy of electrons in the conduction band. It is now possible to convey the spatial variation of E ν (x) for the lowest conduction band by simply drawing E C (x), and imagining that energies above it at any position x represent the kinetic energy of electrons at that point. The resulting plot is called an energy-band diagram. The relationship between it and the parabolic dispersion relationship is illustrated in Fig. 2.14. The example is for the case of a uniform electric field, which would cause a linear change in the macroscopic potential energy U M (x). 11 E C differs from the electrostatic potential energy by a material constant called the electron affinity, as we show in Chapter 6. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 26 2 Energy band basics H o l e K E H o l e K E E l e c t r o n K E E l e c t r o n K E Position 0 0 0 0 Wavenumber Wavenumber E V E C E g Figure 2.14 Representation of the relationship between the band structure and the energy-band diagram. The example is for the case of a homogeneous semiconductor in a uniform electric field. The change in potential is conveyed by a spatial change in the conduction- and valence-band edges, E C (x) and E V (x), respectively. 2.13 From microscopic to macroscopic We have come a long way in this chapter. We started by treating the electron as a wave and by considering the microscopic nature of the semiconductor crystal in which the electron moves. This led to energy-wavevector plots and the concept of allowed states of crystal momentum in bands of energies separated by energy bandgaps. We ended by distilling the microscopic details into an effective mass and an energy band edge. This led to energy-position plots, and the prospect of being able to view the electron more classically as it moves through a device in response to external, macroscopic forces. We take advantage of this macroscopic viewpoint whenever possible in the following chapters. However, its underlying microscopic basis should always be kept in mind, and there is no alternative to the microscopic viewpoint when considering events that are important in some devices, for example, photon absorption in solar cells, photon generation in LEDs, tunnelling and strain-engineering in MOSFETs, carrier confinement in HJFETs and carrier transport in 1-D nanotransistors. Exercises 2.1 Consider Fig. 2.2. Remove the middle rows of atoms so that the resulting structure is no longer face-centred rectangular, but is simply rectangular. Construct the primitive unit cell for the new lattice. What is the basis? 2.2 Electrons propagating through periodic structures can be represented by Bloch functions. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 27 Show that these functions properly account for the fact that an electron must have equal probability of being in any of the identical primitive unit cells in a perfectly crystalline material. 2.3 A new 1-D material, A, has N primitive cells/unit length, 1 atom/primitive cell and 1 valence electron/atom. Another new 1-D material, B, has N,2 primitive cells/unit length, 2 atoms/ primitive cell and 1 valence electron/atom. Which of these two materials is a semiconductor? 2.4 Consider a simple 1-D semiconductor crystal comprising 6 primitive cells separated by a distance a. Each primitive cell contains a single atom; each atom has 4 valence electrons. Make a rough sketch of the reduced-zone representation of the band structure. Identify the conduction band and the bandgap. 2.5 Compute the number of atoms per unit area on the (100) and (111) surface planes in the silicon lattice. This information is relevant to devices where surface conditions are important, as in MOSFETs, for example. 2.6 Search beyond this book for a drawing of the primitive unit cell for a real-space, 3-D, body-centred, cubic lattice. Compare this unit cell with that shown in Fig. 2.7 for the primitive unit cell in reciprocal space of a face-centred cubic lattice. Sketch how these primitive unit cells nest together to fill up all of real space or reciprocal space. 2.7 In Section 2.8 we showed that [k 100 [ max = 2π,a for the Brillouin zone of Fig. 2.7 for a face-centred cubic real-space lattice of lattice constant a. This length is the distance between I and X in the direction Lon the figure. Show that the distance I to L in the direction A in Fig. 2.7, which is [k 111 [ max , is √ 3π,a. The difference in the two vector lengths is evident from the x-axis of the band-structure plots of Fig. 2.9. 2.8 The E-k relationships for the conduction bands of two semiconductor materials, A and B, each with spherical constant-energy surfaces, can be expressed as E A = αk 2 and E B = 2α(k −k / ) 2 . respectively, where α is a constant and k / > 0. Which material has the higher electron effective mass? 2.9 Fig. 2.10b shows the valence band of a semiconductor with one unoccupied state below the top of the band. If a positive electric field E x is now applied, will the empty state move first to a position of higher hole energy or lower hole energy? 2.10 Write a short program (a .m MATLAB file, for example) to plot your own version of Fig. 2.4 from (2.17). For your numerical answer use [m 0 βa, 2 ] = 4, rather than the value of 10 used in the text. Plot the figure. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 28 2 Energy band basics 2.11 Imagine that your plot from the previous question applies to a material with a lattice constant of 0.5 nm, and a primitive unit cell that has a basis of 2 atoms, each of which has 2 valence electrons. Estimate the bandgap of this material in eV. 2.12 The ga values for the first 5 allowed bands from Fig. 2.4 are listed in the table below. This data was used to generate Fig. 2.6. (a) Plot your own version of this reduced-zone plot, and recall that [m 0 βa, 2 ] = 10 in this case. (b) Imagine, that this plot applies to a material with a primitive unit cell that has a basis of 2 atoms, each of which has 2 valence electrons. Show a parabolic fit to the valence band, and give your estimate (in units of m 0 ) of the parabolic-band effective mass for holes. ka,π 0 0.2 0.4 0.6 0.8 1.0 ga 1 ,π 0.8364 0.8500 0.8871 0.9367 0.9814 1.0000 ga 2 ,π 2.0000 1.9637 1.8804 1.7887 1.7171 1.6984 ga 3 ,π 2.5678 2.6099 2.7112 2.8343 2.9475 3.0000 ga 4 ,π 4.0000 3.9331 3.7981 3.6533 3.5285 3.4723 ga 5 ,π 4.3988 4.4681 4.6105 4.7701 4.9205 5.0000 2.13 In the previous question a value for the parabolic band effective mass was found by curve fitting. Here, an analytical approach is to be used via an expansion of (2.17) about the valence-band extremum. Take the first two terms of the cosine expansion on the left-hand side of the equation, and perform a Taylor Series expansion to first-order of the right-hand side of the equation. Making use of (2.6), show that m ∗ h m 0 = −1 g ∗ a _ sin g ∗ a − A _ cos g ∗ a g ∗ a − sin g ∗ a (g ∗ a) 2 __ . (2.42) where g ∗ a is ga at the extremum, and A ≡ [m 0 βa, 2 ] = 10. Compare your result with that from the previous question. If the discrepancy bothers you, use your value for m ∗ h from this question to make a new parabolic curve, and compare this with the ‘true’ band as given by the ga data in Exercise 2.12. The discrepancy should be seen to be merely due to how far away from the extremum you wish the parabolic fit to extend. References [1] S.M. Sze, Semiconductor Devices, Physics and Technology, 1st Edn., Fig. 1.3b, John Wiley & Sons Inc., 1985. [2] C.M. Wolfe, N. Holonyak, Jr. and G.E. Stillman, Physical Properties of Semiconductors, Prentice-Hall, 1989. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 References 29 [3] D.J. Griffiths, Introduction to QuantumMechanics, pp. 52–55, 198–201, Prentice-Hall, 1995. [4] C. Kittel, Introduction to Solid State Physics, 3rd Edn., Chap. 2, John Wiley & Sons Inc., 1968. [5] D.L. Pulfrey and N.G. Tarr, Introduction to Microelectronic Devices, Fig. 2.7a, Prentice-Hall, 1989. [6] S. Datta, Quantum Transport: Atom to Transistor, Sec. 5.3, Cambridge University Press, 2005. [7] J.H. Davies, The Physics of Low-dimensional Semiconductors, Cambridge University Press, 1998. [8] R.F. Pierret, Advanced Semiconductor Fundamentals, Chap. 3, Addison-Wesley, 1987. [9] L. Reggiani, Hot Electron Transport in Semiconductors, Chap. 1: General Theory, Springer- Verlag, 1985. [10] S. Datta, Quantum Phenomena, Sec. 1.1 and Chap. 6, Addison-Wesley, 1989. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3 Electron and hole concentrations From the previous chapter we learned that the mobile charge carriers in a semiconductor can be categorized as electrons in the conduction band and holes in the valence band. The carriers occupy states, which define their energy and crystal momentum. One of the objectives of this chapter is to determine the density of these states in energy or momentum, as well as the densities of the carriers in real space. We begin, however, with a look at how the mobile carriers are created and destroyed. 3.1 Creation of electrons and holes The four mechanisms by which electrons (in the conduction band) and holes can be created in the devices discussed in this book can be classed as thermal, optical, electrical, and chemical. 3.1.1 Thermal generation For temperatures T > 0 K, the lattice atoms vibrate about their mean positions. Occa- sionally, the local amplitudes of vibration are sufficient to break a valence bond, i.e., to release an electron from the valence band. Obviously, in a perfectly periodic crystal, the energy gained by the electron must be sufficient to promote the electron into the conduction band, as there are no available states elsewhere. The situation is illustrated in Fig. 3.1, where an electron from state ¸1) in the valence band is promoted to state ¸1 / ) by the absorption of phonons. A phonon is a quantum of lattice vibrational energy. The lattice vibrations travel through the material as waves because the random vibrations of each atom are coupled to neighbouring atoms by the bonding forces. In Fig. 3.2a this interatomic coupling is represented by springs. However, the crystal lattice, being a mechanical structure, can only vibrate in specific modes. Examples of two vibrational modes are shown in Fig. 3.2. The displacements of the atoms are in the direction of the chain of atoms, so they are called longitudinal modes. The associated phonons are termed acoustic and optic, depending on whether the displacements of neighbouring atoms are in-phase (Fig. 3.2b) or out-of-phase (Fig. 3.2c), respectively. If the atoms are allowed to vibrate in the two directions perpendicular to the direction of the atomic chain, the dispacements give rise to transverse modes [1]. Again, acoustic and optic phonons are possible. 30 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3.1 Creation of electrons and holes 31 (a) (b) 〈1 ′ 〉 〈1〉 E C E V Figure 3.1 Representation of thermal generation of an electron-hole pair, (a) on an E-k plot, (b) on an energy-band diagram. The square waves are a symbolic representation of phonons of different frequency. The arrows pointing towards the band structure denote absorption. (c) (b) (a) Figure 3.2 Examples of atomic displacements in a 1-D array in which the unit cell comprises a light atom (open circle) and a heavier atom (shaded circle). (a) Representation by springs of interatomic coupling. (b) The displacements of the light and heavy atoms are in-phase. This gives rise to longitudinal acoustic phonons. (c) The displacements of the two atoms are out-of-phase. This gives rise to longitudinal optic phonons. In real 3-D crystals, the periodicity of the lattice imparts a band structure to phonons that has some similarities with that of electrons. The energy-momentum dispersion relationships for phonons in Si and GaAs are sketched in Fig. 3.3 for a particular direction. For these basically cubic materials the two transverse modes are the same, i.e., they are doubly degenerate, so we see only four branches in the band structure of Fig. 3.3, not six. Note that the frequencies are higher in Si than in GaAs because of the former’s lighter atomic mass. The elements of the phonon band structure (allowed bands (branches), bandgaps, reduced-zone representation) can be readily obtained by first viewing the displacements as constituting travelling waves of the form u na = A exp[i (βna −ω β t )] . (3.1) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 32 3 Electron and hole concentrations (b) GaAs LO LO TO TO (a) Si 60 40 20 0 60 15 10 5 0 15 10 5 0 40 20 0 LA LA E n e r g y ( m e V ) F r e q u e n c y ( T H z ) TA TA X K Γ Γ X K Figure 3.3 Phonon spectra for Si and GaAs. From Davies [2], C _Cambridge University Press 1998, reproduced with permission. where u na is the displacement of the n th atom in a chain of atoms with spacing a, β is the phonon wavevector and ω β is the radian frequency. Secondly, the spring represen- tation of Fig. 3.2a can be used to formulate the equations of motion for this system of interconnected atoms. The allowed phonon energies (frequencies of vibration) predict acoustic and optic modes and, in the case of diatomic unit cells comprising atoms of different masses, bandgaps at the zone boundary [2, pp. 70–75]. Returning now to electron-hole-pair generation, let us take the thermal generation event in Fig. 3.1 to have occurred in silicon. Thus, the electron must have gained energy at least equal to that of the bandgap, i.e., 1.12 eV. Aglance at Fig. 3.3 tells us that phonons have much lower energies than this; therefore, many phonons must be simultaneously absorbed for the electron to be excited to the conduction band. The likelihood of this happening is not great, so the number of electrons and holes produced in this way will be much smaller than the number of electrons in the valence band. 1 The latter is about 2 10 23 cm −3 for silicon, while the former is around 1 10 10 cm −3 at room temperature, and is assigned the label n i , where the subscript i refers to intrinsic material, i.e., this thermal process is intrinsic to the material, and occurs irrespective of any other methods of excitation. Thus, n i = p i . (3.2) where p i is the concentration of holes created by this process. The conservation of energy alluded to above can be expressed as [E e ¸1 / ) − E e ¸1)] − ω β = 0 . (3.3) i.e., energy is gained by the electron at the expense of the overall phonon energy, or, the electron absorbs the energy of many phonons. 1 The density of atoms in a material is given by the product of Avogadro’s number and the material density, divided by the gram molecular weight. For Si, the value is 5 10 22 atoms/cm 3 . Each atom has 4 valence electrons. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3.1 Creation of electrons and holes 33 (b) (a) E C E V 〈1 ″ 〉 〈1 ′ 〉 〈1〉 Figure 3.4 Representation of optical generation of an electron-hole pair, (a) on an E-k plot, (b) on an energy-band diagram. The sine wave indicates absorption of a photon. Instances of phonon absorption and emission are also shown. Momentum must also be conserved during the process. This can be expressed as [k e ¸1 / ) −k h ¸1)] − β = 0 . (3.4) The requirements of conservation of both energy and momentum determine the number and type of phonons that participate in the absorption process. Obviously, in the energy- band diagram representation of the event in Fig. 3.1b, information of the momentum exchange is not conveyed. 3.1.2 Optical generation Generation of an electron-hole pair by absorption of optical energy is illustrated in Fig. 3.4. The energy- and momentum-balance equations are E e ¸1 / ) − E h ¸1) = ω photon ÷ (ω β a −ω β e ) k e ¸1 / ) −k h ¸1) = k photon ÷ (β a −β e ) . (3.5) where β a and β e are the wavevectors of phonons that are either absorbed or emitted, respectively. Phonons need to be involved if the electron transition involves a momentum change because photons carry very little momentum, as can be readily verified from k photon = E n r c . (3.6) where c is the velocity of light in vacuum and n r is the refractive index of the semi- conductor. For a 1.12 eV photon in Si, for example, k photon ≈ 3 10 6 m −1 . Contrast this with the wavevector difference between an electron at the top of the valence band at the I-point and one at the conduction band minimum, which is at ≈0.8 2π,a in Si. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 34 3 Electron and hole concentrations (a) (b) E C E V 〈1 ′ 〉 〈2 ′ 〉 〈2〉 〈1〉 Figure 3.5 Representation of impact-ionization generation of an electron-hole pair, (a) on an E-k plot, (b) on an energy-band diagram. The energy from the electron transition ¸1) →¸1 / ) is absorbed by the lattice and promotes an electron from state ¸2) to ¸2 / ). In (b), X marks the position of the impact-ionizing collision. The difference in wavevector is ≈10 10 m −1 . Clearly this cannot be met by the photon, so phonons must be involved in the transition. In a direct bandgap material, interband electron transitions at energies near the bandgap need not involve any momentum change, so phonons need not be involved. It follows that photon absorption in direct bandgap materials occurs more readily than in indirect bandgap materials. However, the fact that silicon is widely used in solar cells and photodetectors indicates that absorption can be strong in indirect bandgap materials. This is because, for photon energies near to E g , as shown in Fig. 3.4, the phonons involved need not have much energy (only momentum), and there are many acoustic phonons of this type available. 2 3.1.3 Electrical generation Generation of an electron-hole pair by the impact ionization of a lattice atom is illus- trated in Fig. 3.5. A high-energy electron collides with a lattice atom, and there is a transfer of kinetic energy and momentum to the atom. If the energy involved is ≥E g , then an electron can be ‘freed’ from the valence band, creating another electron in the conduction band, and leaving behind a hole in the valence band. The energy- and 2 The average number of phonons in a vibrational mode of frequency ω β follows fromthe statistical mechanics of a set of harmonic oscillators, and is given by ¸n β ) = 1,[exp(ω β ,k B T) −1], where k B is Boltzmann’s constant. Thus, low-energy phonons are very numerous. Another way of realizing this is to appreciate that phonons are bosons and, therefore, are not restricted by Pauli’s Exclusion Principle to single occupancy of a state. Thus, many phonons can be expected to be found in the lower energy states. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3.1 Creation of electrons and holes 35 (a) E C E V (b) _ + _ + 〈1 ′ 〉 〈2 ′ 〉 〈1〉 〈2〉 Figure 3.6 Generation of carriers by doping: electrons from donors, and holes from acceptors. The energy between the dopant ground state and the appropriate band edge (conduction band edge for donors and valence band edge for acceptors) is the ionization energy for the dopant. momentum-balance equations are [E e ¸1 / ) − E e ¸1)] ÷[E e ¸2 / ) − E h ¸2)] = 0 [k e ¸1 / ) −k e ¸1)] ÷[k e ¸2 / ) −k h ¸2)] = 0 . (3.7) Usually, electrons are confined to the lower energies of the conduction band by frequent collisions with atoms, defects, and any impurities in the lattice. However, if an electron is rapidly accelerated by an applied electric field, as is assumed to have happened in Fig. 3.5b, it could gain sufficient energy between collisions for impact ionization to occur. It is because of this electric-field origin of the kinetic energy that we give the label of “electrical generation” to this electron-hole-pair generation mechanism. It can lead to avalanche breakdown, as we discuss in Section 16.1. 3.1.4 Chemical generation In chemical generation, either an electron or a hole is created by the substitution of a lattice atom with an appropriate impurity atom. In Si, for example, as it is a Group IV element, the addition of an element from Group V could create a ‘free’ electron, i.e., one that is not needed for bonding purposes. Contrarily, the incorporation of a Group III element would make the valence band deficient in electrons, i.e., a hole would be created. The controlled addition of impurities is known as doping. The doping is effective in creating extra electrons or holes if the ionization energy of the dopant is sufficiently small for charge exchange between the dopant atom and the energy bands to occur. Such is the case illustrated in Fig. 3.6. Typical dopants used in Si devices are phosphorus and boron. These atoms have suitably low ionization energies (≈0.04 eV) for the dopant atom to have a very high P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 36 3 Electron and hole concentrations probability of being ionized at room temperature. Additionally, these atoms have similar atomic masses to that of Si, so they can be accommodated in the host lattice without severely disrupting the local crystallinity. 3 Semiconductors doped with a few parts per million of certain impurities can have electron and hole concentrations that are markedly different from those in intrinsic material at the same temperature. In such cases the material is said to be extrinsic. It takes considerable energy to force a foreign atom into a lattice site, so doping usually involves a high-temperature process, such as diffusion, or a high-energy process such as ion-implantation [3]. In silicon, these procedures are performed on thin wafers, nowas large as 300 mmin diameter, which are cut fromsingle crystal boules that are pulled from molten silicon at about 1400 ◦ C, and can be several metres in length. An appropriate dopant is usually added to the melt so that the boule itself is extrinsic silicon. In the energy band diagram on the right of Fig. 3.6 the dopant placements are shown as separate, short lines, to indicate their localized nature. For example, the dopant separation in silicon would be about 100 lattice points in any direction for a doping density of about 10 17 cm −3 . At such large separations, there is no overlap between the wavefunctions of the electrons associated with the dopants, so there is no corresponding energy band with a range of permissible electron energies and momenta. 4 Thus, it is not strictly correct to represent dopants on an E-k diagram. Nevertheless, we have attempted to do so in Fig. 3.6a. The energy balance relation is straightforward [E e ¸1 / ) − E e ¸1)] ≥ E a . (3.8) where E a is the ionization, or activation, energy. However, the momentum balance relation is ill-defined because crystal momentum relates to Bloch waves, which are relevant to periodic structures, and this periodicity doesn’t apply in the neighbourhood of a dopant. A dashed line has been drawn on Fig. 3.6a to emphasize this lack of knowledge of the precise momentum. Some measure of the uncertainty in momentum can be obtained from Heisenberg’s Uncertainty Principle σ x σ p ≥ ,2 . (3.9) where σ x is the standard deviation in position, and σ p is the standard deviation in momen- tum. Obviously, if the electron is highly localized, there must be a large uncertainty in its momentum. 5 Suffice to say that the dopant atom is localized to an extent that allows satisfaction of any momentum-change requirements for the transfer of an electron to the conduction band. Thus, the phosphorus atom in the example that we have been considering acts as a donor: it donates one electron to the conduction band. In so doing it becomes a positive 3 Crystalline defects are not wanted as they tend to encourage recombination. 4 For very high doping densities, i.e., about 10 20 cm −3 , the wavefunctions of the electrons in each dopant might overlap and cause a band of energies slightly below, or perhaps overlapping with, one of the band edges of the host material. The result can be a reduction in E g ; the phenomenon is known as bandgap narrowing. 5 You can quantify this by doing Exercise 3.5, which shows how the uncertainty in momentum can explain how light emission is possible from an indirect bandgap material. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3.2 Recombination 37 ion. So the material remains, overall, electrically neutral. Note, no hole is created, and the ion is stationary at normal temperatures, so the only new conducting entity is the electron. Thus, in this material n > p; the electron becomes the majority carrier, and the preponderance of negatively charged carriers leads to the label of n-type. Phosphorus and arsenic are the two donors most commonly used in silicon processing. In the III-V compound semiconductor GaAs, useful donors are silicon (Group IV), which substitutes for gallium, and selenium (Group VI), which substitutes for arsenic. To make extra holes in silicon, a Group III element is introduced substitutionally. Boron is most commonly used for this purpose. In GaAs, zinc (Group II) is used in place of gallium, and silicon in place of arsenic. In the boron case, for example, the three valence electrons of this small dopant are insufficient to satisfy the full bonding requirements of the the four neighbouring silicon atoms that surround it. This deficiency in bonding can be repaired by the acceptance of a valence electron from elsewhere in the valence band. A small amount of energy is required to do this because the accepted electron is surplus to the needs of the boron atom, and, therefore, a small repulsive force has to be overcome. Once the electron has been accepted, the boron becomes a negative ion, and a new hole appears elsewhere in the valence band. Again, the ion is not mobile at the normal operating temperatures for a transistor or diode, so the boron-doped material has extra positively charged current carriers in the form of holes, and is labelled as p-type material. The boron atom is referred to as an acceptor. A representation of acceptor doping on energy diagrams is given in Fig. 3.6. The situation is analogous to that described for donors. Because some thermal energy is required to ‘free’ the fifth electron from the P atom and to accept a fourth electron into the vicinity of the B atom, it can be anticipated that not all the dopant atoms are necessarily ionized at room temperature. However, for P and B in Si, the ionization energies are sufficiently low that complete ioniza- tion is a reasonable approximation to make for the normal operating temperatures of Si devices. We make this assumption in this book. Thus, the concentration of donor and acceptor ions, N ÷ D and N − A , respectively, can be taken as known, i.e., equal to the dopant concentrations (N D and N A ) that are deliberately and precisely introduced during the wafer- or device-processing steps in the fabrication of a semiconductor device. 3.2 Recombination Electrons that have been excited into the conduction band by any of the mecha- nisms just discussed will have a tendency to lose energy by ‘falling into’ holes in the valence band. This process is called recombination, and the four mechanisms of relevance to the devices treated in this book are: band-to-band (or radiative) recombina- tion, recombination-generation-centre recombination, Auger recombination and surface recombination. We’ll consider the first three, which are bulk-recombination mechanisms, in the following sections. Surface recombination is particularly relevant to solar cells, and is discussed in Section 7.4.1. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 38 3 Electron and hole concentrations (b) (a) E E C V 〈1 ′ 〉 〈1〉 Figure 3.7 Band-to-band recombination. 3.2.1 Band-to-band recombination Band-to-band recombination is the inverse of optical generation, and is illustrated in Fig. 3.7 for the case of a direct bandgap material. The energy lost by the electron usually creates a photon, resulting in light emission, rather than phonons, which would result in heating of the lattice. Thus, this type of recombination is often called radiative recombination. Phonons are not usually involved because their energies are small (see Fig. 3.3), and while the electron in the conduction band is waiting for 20 or more phonons to be simultaneously emitted, as would be required for a direct band-to-band transition in GaAs, a single photon is created instead. The rate of recombination R rad depends on there being both electrons and holes present, thus R rad = Bnp . (3.10) where B is the radiative recombination coefficient, and n and p are the electron and hole concentrations, respectively. For a direct bandgap material such as GaAs, B ≈ 10 −10 cm 3 s −1 . For an indirect bandgap material such as Si, B ≈ 10 −14 cm 3 s −1 . Radiative recombination is much less likely to occur in indirect bandgap materials because of the need to involve phonons in the process in order to take up the change in crystal momentum. The energy- and momentum-balance equations for the transition in direct bandgap materials are E e ¸1) − E e ¸1 / ) = ω photon k e ¸1 / ) −k e ¸1) ≈ 0 . (3.11) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3.2 Recombination 39 (a) (b) E C E V 〈1 ′ 〉 〈1〉 Figure 3.8 RG-centre recombination. The momentum is indeterminate, as in Fig. 3.6, so the state of the recombination-generation centre cannot be identified. The second step in the recombination process can be thought of as hole capture. 3.2.2 Recombination-generation-centre recombination The recombination-generation (RG)-centre process takes advantage of the fact that crystals are rarely perfect. In practical crystalline materials, a few atoms will be missing from their regular lattice sites, and there will also be some distortion of the lattice in the vicinity of impurity atoms. Recall from Chapter 2 that perfect periodicity gives rise to energy bands and bandgaps. So, the presence of crystalline defects disturbs the periodicity of the structure and is manifest as localized energy levels within the bandgap. However, unlike the localized levels of deliberately introduced donors and acceptors, the energy levels of defects and gratuitous impurities are not confined to energies close to the band edges: they are distributed throughout the bandgap. The presence of these ‘stepping stones’ facilitates the recombination process by providing temporary (metastable) states for electrons. Each transition involves fewer phonons than would be required for band- to-band recombination in one step, and, consequently, is more likely to occur. Energy levels near to the middle of the bandgap (see Fig. 3.8) are most effective as recombination centres because the events of capture of electrons from the conduction band and of holes from the valence band are similarly probable. 6 The analysis of this type of recombination event was first carried out by Hall [4] and by Shockley and Read [5], and is often referred to as Shockley-Read-Hall- or, simply, SRH-recombination. The intragap centres are usually treated as having a single (ground- state) energy level but, in reality, excited states will be present, thereby further reducing the number of phonons that have to be involved in any one transition, and, consequently, 6 Shallow energy levels, i.e., ones close to the band edges, are not so efficient recombination centres because a captured carrier is more likely to be emitted back to its host band, than it is to be emitted into the other band. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 40 3 Electron and hole concentrations (a) (b) E V E C 〈1 ′ 〉 〈2 ′ 〉 〈1〉 〈2〉 Figure 3.9 Auger recombination in an n-type semiconductor. The energy released by the transition ¸1) →¸1 / ) is absorbed by the electron in state ¸2). This energy could also increase the energy of a hole in the valence band, but this is less likely to occur in n-type semiconductors because of the fewer number of holes. making RG-centre recombinaton even more likely to occur. For weak and moderate doping, it is the dominant recombination mechanism in Si, and the principal non- radiative recombination mechanism in direct bandgap semiconductors such as GaAs. 7 The rate of recombination depends on the presence of electrons, holes and traps, as the sites of the favourable RG centres are often called. However, the rate-limiting step will be the capture of the minority carrier by the trap: the capture of the majority carrier is far more probable because of the greater number of carriers, e.g., holes in p-type material. Thus, for p-type material, for example, the recombination rate is R RG ≈ r N T n ≡ An p-type material . (3.12) where r is a temperature-dependent rate constant, N T is the concentration of traps, and A is a trap-dependent recombination coefficient, and has units of s −1 . The energy- and momentum-balance equations for this type of recombination are E e ¸1) − E h ¸1 / ) = ω β k e ¸1) −k h ¸1 / ) = β . (3.13) 3.2.3 Auger recombination Auger recombination is illustrated in Fig. 3.9, and is the inverse of impact-ionization generation. The energy and momentum produced by the electron-hole recombination 7 Note also that these excited states will also facilitate the thermal generation of electron-hole pairs. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3.2 Recombination 41 Table 3.1 Recombination parameter values for Si and GaAs at 300 K. A, C and D as used in ATLAS, the semiconductor device simulator from Silvaco [6, p. B-8]. B from Schubert [7]. A e A h B C D Semiconductor (s −1 ) (s −1 ) (cm 3 s −1 ) (cm 6 s −1 ) (cm 6 s −1 ) Si 1 10 7 1 10 7 3 10 −14 8.3 10 −32 1.8 10 −31 GaAs 1 10 9 1 10 8 2 10 −10 5 10 −30 1 10 −31 event are transferred to either a second electron or hole. These excited carriers then lose their energy and momentum by emitting phonons. The emission process occurs readily as there are many, many available states in the bands, to which the carriers can transfer with changes in momentum and energy that are compatible with the phonon dispersion relationship. When the excited carrier is an electron, as shown in Fig. 3.9, the energy- and momentum-balance equations are E e ¸1) − E h ¸1 / ) = E e ¸2 / ) − E e ¸2) = ω β k e ¸1) −k h ¸1 / ) = k e ¸2 / ) −k e ¸2) = β . (3.14) In the above equations, ω β and β are the energy and momentum of each emitted phonon, respectively. This type of recombination needs to be considered when one of the carrier concentra- tions is very high, yet both carriers are of importance, e.g., in the emitter of solar cells, in the space-charge region of LEDs, and in the base of HBTs. In each recombination event, two carriers of one type and one carrier of the other type are involved. Thus, the recombination rate for the sum of the process shown in Fig. 3.9, and the corresponding process involving the excitation of a hole, can be written as R Aug = Cn 2 p ÷ Dp 2 n . (3.15) where C and D are Auger recombination coefficients with units of cm 6 s −1 . Typical values for these coefficients, and for the other recombination coefficients are given in Table 3.1. 3.2.4 Recombination lifetime Recombination is always occurring in a semiconductor, and, at equilibrium, its rate of occurrence must exactly equal the rate of generation. The net rate of recombination out of equilibrium is given, therefore, by the difference between the non-equilibrium- and the equilibrium-recombination rates. This net rate is often denoted by U, and has units P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 42 3 Electron and hole concentrations of m −3 s −1 . For radiative recombination, for example, we have U rad = Bnp − Bn 0 p 0 . (3.16) where the subscript ‘0’ denotes thermal equilibrium (see next chapter). Consider now the situation when Ln electron-hole pairs have been generated. The net recombination rate is proportional to np −n 0 p 0 = (n 0 ÷Ln)( p 0 ÷Ln) −n 0 p 0 = Ln 2 ÷Ln( p 0 ÷n 0 ) ≈ Ln 2 ÷Lnp 0 p-type material = Lnp 0 low-level injection = Ln( p 0 ÷Ln) high-level injection . (3.17) In the above development, we have taken the case of p-type material (n 0 _ p 0 ) and we have defined two cases of recombination, depending on the magnitude of the generated carrier concentration: when Ln (=Lp) is much less than the majority carrier concentra- tion ( p 0 in this p-type example), the situation is one of low-level injection; when this is not the case, we have high-level injection. Thus, the net rate of recombination for minority carrier electrons can be written as U e.rad ≡ Ln τ e.rad . (3.18) where τ e.rad is the electron minority carrier radiative recombination lifetime, and is given by τ e.rad = 1 Bp 0 low-level injection = 1 B( p 0 ÷Ln) high-level injection . (3.19) For recombination-generation-centre recombination the majority carrier concentra- tion is of little consequence, so we have, for both levels of recombination, τ e.RG = 1 A . (3.20) However, note from (3.12) that A depends on the density of traps, which will depend, in turn, on the dopant concentration because of the disruption to the lattice caused by the incorporation of foreign atoms. Thus, A is likely to have some dependence on dopant concentration. For Si, a simple, empirical relationship is often used for both electrons and holes [6, p. 3–81]: τ e.RG = 5 10 −7 (1 ÷2N 10 −17 ) . (3.21) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3.3 Carrier concentrations 43 where N is the doping density in cm −3 . For GaAs, an empirical relationship for recombination-generation-centre recombination is [8, p. 68] τ e.RG = _ N A 1 10 10 ÷ N 2 A 1.6 10 29 _ −1 τ h.RG = _ N 0.693 D 5.4 10 4 ÷ N 2.54 D 1 10 40 _ −1 . (3.22) where the doping densities are to be expressed in cm −3 . For Auger recombination in p-type material under high-level injection conditions, the minority carrier lifetime reduces to τ e.Aug = 1 C(Lnp 0 ÷2n 0 p 0 ÷Ln 2 ) ÷ 1 D(2Lnp 0 ÷ p 2 0 ÷Ln 2 ) . (3.23) The overall, electron minority carrier lifetime is given by τ e = _ 1 τ e.rad ÷ 1 τ e.RG ÷ 1 τ e.Aug _ −1 . (3.24) This lifetime is crucial to the operation of bipolar devices, such as solar cells, LEDS, and HBTs, as discussed in later chapters. 3.3 Carrier concentrations So far in this chapter we have talked about how electrons and holes are created and destroyed, and we also know that these carriers reside in states within their respective bands of allowed energies. We now derive expressions for the carrier concentrations, in preparation for their use in the next chapter. The fundamental expression for the electron concentration at some position ¯ r at some time t is n(¯ r. t ) = 1 O filled states . (3.25) where O is the volume over which the concentration is defined: it depends on the dimensions of the system, e.g., it has units of m 3 in 3-D. To turn this sum into an integral over all momentum space ¯ k, we first need to recall from Chapter 2 that the separation between states in one dimension is 2π,L, where L is the length of the crystal in one dimension. Also, we must recognize that each momentum state in ¯ k space can contain 2 electrons, provided they are of different spin. Finally, if we are going to do an integral over all ¯ k states, some of which will be empty, we have to introduce a distribution function to account for the probability of a particular state being occupied. Doing all these things n(¯ r. t ) = 1 O filled states ⇒ 1 O 2 (2π) i O _ ¯ k f (¯ r. ¯ k. t ) d ¯ k . (3.26) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 44 3 Electron and hole concentrations k y k x k k + dk 2p / L x y / L 2p Figure 3.10 2-D representation of k-space spheres of radius k and k ÷dk. The circular shape implies equal lengths L x , L y and L z (not shown) of the crystal in real space. where i = 1. 2, or 3, is the dimensionality of the volume under consideration, and f is the distribution function. To turn this general equation into something specific, we consider the electron concentration in the 3-D, time-independent case, and, to simplify the notation, we drop the position identifier. Thus, (3.26) becomes n = 1 4π 3 _ ¯ k f ( ¯ k) d ¯ k . (3.27) As we expect the electrons to fill-up states from the origin of ¯ k out to higher values of momentum, and, as the number of available states is huge, we can approximate the surface of the actual volume of ¯ k-space being filled as that of a sphere of radius k (see Fig. 3.10), where k = [ ¯ k[ = _ k 2 x ÷k 2 y ÷k 2 z . More formally, the simplification we are making is that the distribution function f depends only on the magnitude of k, i.e., in spherical coordinates n = 1 4π 3 _ ∞ 0 _ π 0 _ 2π 0 f (k)k 2 sin θ dφ dθ dk . (3.28) In either case the result is n = 1 4π 3 _ k f (k)4πk 2 dk n(k) = g(k) f (k) g(k) = k 2 π 2 . (3.29) where g(k) is the density of states in ¯ k-space. In this particular case, g(k) is quoted for 3-dimensional real space, and has units of m −3 [k] −1 ≡ m −2 . P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 3.3 Carrier concentrations 45 Often it is convenient to express the density of states with respect to energy, rather than with respect to wavenumber; in either case, the total number of states must be the same, e.g., _ ∞ 0 g(E − E C ) d(E − E C ) = _ ∞ 0 g(k) dk . (3.30) where g(E − E C ), in the 3-D case, has units of m −3 J −1 . To proceed further, we need a tractable expression for the dispersion relationship; this is where the parabolic-band approximation of Section 2.9.3 is helpful, namely E − E C = 2 2 _ k 2 x m ∗ x ÷ k 2 y m ∗ y ÷ k 2 z m ∗ z _ ≡ 2 2 k 2 m ∗ e.DOS . (3.31) where m ∗ e.DOS is the density-of-states effective mass for electrons. For materials such as GaAs, for which the constant-energy surfaces are spherical for electrons, m ∗ e.DOS is simply the parabolic-band effective mass. For Si the situation is a little more complicated, as described in the next section. Differentiating (3.31), d(E − E C ) in (3.30) can be written in terms of dk. The inte- grands of each side of (3.30) can then be equated, which gives g C (E − E C ) = 8π √ 2 h 3 (m ∗ e.DOS ) 3,2 (E − E C ) 1,2 for E ≥ E C g V (E V − E) = 8π √ 2 h 3 (m ∗ h.DOS ) 3,2 (E V − E) 1,2 for E ≤ E V . (3.32) where we have added the analogous expression for the density of states in the valence band g V (E) in terms of the density-of-states effective mass for holes m ∗ h.DOS . More details on m ∗ h.DOS and m ∗ e.DOS are given in the next section. Meanwhile, please note that the densities of states in 3-D real-space increase parabolically with energy away from the band edges. Using (3.32) in (3.30) and (3.29), the electron and hole concentrations (per unit volume) can be written as n = _ g C (E) f (E) dE p = _ g V (E)[1 − f (E)] dE . (3.33) where the integrals are over the conduction and valence bands, respectively. To complete the calculation of the carrier concentrations we need to knowthe distribu- tion function f (E). Under the non-equilibrium operating conditions of a semiconductor device this is not an easy task (see Section 5.2). However, the distribution function under equilibrium conditions is well-known, and is presented in Section 4.2. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 46 3 Electron and hole concentrations Table 3.2 Density-of-states effective masses for Si and GaAs. The values at 4 K come from using the parabolic-band effective masses from Table 2.1 in the equations given in this chapter. The estimated values at 300 K are from Pierret [9]. m ∗ e.DOS at 4 K m ∗ h.DOS at 4 K m ∗ e.DOS at 300 K m ∗ h.DOS at 300 K Semiconductor (m 0 ) (m 0 ) (m 0 ) (m 0 ) Si 1.06 0.59 1.18 0.81 GaAs 0.067 0.53 0.066 0.52 3.4 Density-of-states effective masses in silicon 3.4.1 Electrons In silicon, the constant energy surface for energies close to the bottom of the conduction band is a prolate spheroid (see Fig. 2.12), as described by E − E C0 = 2 2 _ k 2 x m ∗ l ÷ k 2 y ÷k 2 z m ∗ t _ . (3.34) where m ∗ l and m ∗ t are the longitudinal and transverse parabolic-band effective masses, respectively. The density-of-states effective mass in this case is found by specifying the spherical volume, for which a single effective mass would apply, and that would contain the same volume of states as the prolate spheroid. In fact, the equality must be with 6 prolate spheroids, as there are this number of equivalent volumes in Si, as Fig. 2.12 shows. The procedure is well known [9], and you can discover it for yourself by doing Exercise 3.7. The result is m ∗ e.DOS = 6 2,3 _ m ∗ l m ∗2 t _ 1,3 . (3.35) The value for Si is given in Table 3.2. 3.4.2 Holes In both Si and GaAs, there is a band of light holes and a band of heavy holes, and a degeneracy at the extremum (see Fig. 2.9). The constant energy surfaces for each band are warped spheres (see Fig. 2.13), but are often approximated as true spheres in order to obtain values for the effective masses for light holes m ∗ lh and heavy holes m ∗ hh listed in Table 2.1. The density of states for a single, spherical band involves an effective mass raised to the power 3,2 (see (3.32)). Thus, the density-of-states effective mass for holes in Si and GaAs is m ∗ h.DOS = _ m ∗ 3,2 hh ÷m ∗ 3,2 lh _ 2,3 . (3.36) Values for Si and GaAs are listed in Table 3.2. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 47 Exercises 3.1 Suggest a combination of phonons, listing their number, type, energy and momen- tum, that, when simultaneously absorbed in silicon, would enable the generation of an electron-hole pair. Does your answer make you appreciate why the intrinsic carrier concentration in silicon is so low compared to the total number of electrons in the valence band? 3.2 Fig. 3.9 shows an Auger recombination event involving two electrons and one hole. Re-draw Fig. 3.9a and Fig. 3.9b for the case of an Auger recombination event involving one electron and two holes. 3.3 Obtain an appreciation of the rates of hole recombination in n-type silicon by plotting the rates for radiative-, recombination-generation-centre- and Auger- recombination against excess carrier concentration. Determine the excess-carrier concentration at which Auger recombination becomes dominant. Compare this value with the optical generation rate in Fig. 7.5 to understand why Auger recombination is important in the emitter of silicon solar cells. 3.4 Efficient LEDs and laser diodes are made from direct-bandgap semiconducting materials. On the other hand, photodetectors that are sensitive to radiation at the bandgap energy can be made from indirect-bandgap materials. Why is this so? 3.5 One exception to the general rule of LEDs requiring direct-bandgap materials is the green GaP LED that used to be widely employed in backlighting (on telephone keys, for example). GaP is an indirect-bandgap material with its conduction-band minimum occuring at the X-point. The lattice constant for GaP is 0.545 nm. Light emission comes from transitions involving optically active impurities, such as oxygen or nitrogen. The electron wavefunctions associated with these impurities are localized within a region Lx of real space. Make use of the Heisenberg Uncertainty Principle ((3.9)) to obtain an estimate of Lx. 3.6 The E-k relationships for the conduction bands of two semiconductor materials, A and B, each with spherical constant-energy surfaces, can be expressed as E A −0.7 = αk 2 and E B −1.4 = 2α(k −k / ) 2 . respectively, where α is a constant, k / > 0 and the energies are in units of eV. Both materials have the same valence-band structure, with the top of the valence band at E = 0 and k = 0. Which material would have the higher intrinsic carrier concentration? 3.7 Derive (3.35) for the density-of-states effective mass for electrons in Si. 3.8 Plot the densities-of-states expressions in (3.32), with energy on the y-axis, for silicon. State the values for the densities of states in each band, in units of states cm −3 eV −1 , at an energy of 3 2 k B T away from each extremum. This energy is the mean kinetic energy of carriers in an equilibrium distribution at low and moderate doping densities. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 48 3 Electron and hole concentrations References [1] C.M. Wolfe, N. Holonyak, Jr. and G.E. Stillman, Physical Properties of Semiconductors, pp. 177–182, Prentice-Hall, 1989. [2] J.H. Davies, The Physics of Low-dimensional Semiconductors, p. 75, Cambridge University Press, 1998. [3] J.D. Plummer, M.D. Deal and P.B. Griffin, Silicon VLSI Technology: Fundamentals, Practice and Modeling, Chaps. 7 and 8, Prentice-Hall, 2000. [4] R.N. Hall, Electron-hole Recombination in Germanium, Phys. Rev., vol. 87, 387, 1952. [5] W. Shockley and W.T. Read, Jr., Statistics of the Recombination of Holes and Electrons, Phys. Rev., vol. 87, 835–842, 1952. [6] ATLAS Users Manual, Silvaco Data Systems, Santa Clara, June 11, 2008. [7] E.F. Schubert, Light-emitting Diodes, 2nd Edn., p. 53, Cambridge University Press, 2006. [8] W. Liu, Fundamentals of III-V Devices: HBTs, MESFETs, and HFETs/HEMTs, p. 58, John Wiley & Sons Inc., 1999. [9] R.F. Pierret, Advanced Semiconductor Fundamentals, Chap. 4, Addison-Wesley, 1987. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 4 Thermal equilibrium Thermal equilibrium in a semiconductor refers to the state when the temperature is uni- form and has been steady for a long time, and when there are no sources of energy other than heat, e.g., no applied electric field nor optical irradiation. Obviously, semiconductor devices will not be in thermal equilibrium when they are in operation. However, it turns out that parts of a device often remain in a state very close to thermal equilibrium and, furthermore, knowledge of the carrier concentrations in thermal equilibrium is often a good starting point for understanding how a device works. In this chapter, we briefly discuss the collision processes that tend to randomize the momenta of excited electrons and holes, then we introduce the thermal-equilibrium distribution function, develop some useful expressions for the carrier concentrations in equilibrium, and finish by considering the mean thermal velocity associated with an equilibrium distribution of electrons. The last property is a further step towards developing an understanding of current in diodes and transistors. 4.1 Collisions In the previous chapter, we showed how the processes of recombination and generation alter the carrier concentrations in the conduction and valence bands. Under thermal- equilibrium conditions, the thermally activated band-to-band and chemical generation processes are operative, along with one or all of the following recombination mecha- nisms: radiative, RGcentre, Auger. Obviously, for thermal equilibriumto be maintained, the net sum of these various generation- and recombination-rates must be zero, i.e., as heat is taken from the device to create an electron-hole pair, so it must be returned to the lattice on recombination. 1 The other dynamical aspect of thermal equilibriumis the maintenance of the carriers in their lowest energy states within each band. As hinted at in Section 2.9, this is achieved by the carriers continually making collisions with atoms, ions, defects and other carriers. The situation is crudely illustrated in Fig. 4.1. The word ‘collision’ is familiar, and conjures up visions of physical contact, as befitting particle-particle interactions. If we 1 You might like to ponder on how heat is eventually returned to the lattice after a radiative recombination event. 49 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 50 4 Thermal equilibrium B − x e − e − Si Si Si Si P + Figure 4.1 Very simple schematic representation of collisions: two electron-atom (Si) glancing interactions; one electron-electron near-‘head-on’ collision, and two electron-ion (P ÷ and B − ) deflections. were to take the wave viewpoint of an electron, a collision between an electron and a lattice atom, for example, would refer to the interaction of the electron wave with a phonon. Briefly, as the atoms vibrate about their mean positions, with an amplitude that depends on the temperature, the periodicity of the lattice is disturbed. Thus the potential in the system can no longer be considered as being exactly periodic. However, if the perturbations are small, the electron wavefunctions can still be considered to be Bloch wavefunctions, but with different values to those for a stationary, periodic lattice. Thus, if the eigenfunctions change, the eigenvalues will also change, i.e., the state of the electron will change on interacting with a vibrating atom. To get away from the particle-oriented ‘collision’, the word scattering is often used, i.e., the electron scatters to a new state on interacting with an atom, an ion or another electron. At low temperatures, when the thermal vibrations of the atoms are small, ionized- impurity scattering tends to be the dominant scattering mechanism. If there are many carriers present, they can screen other carriers from the attractive or repulsive effect of the impurity ion’s charge, leading to screened ionized-impurity scattering. If the con- centration of carriers is extremely large, carrier-carrier scattering becomes important. In doped semiconductors at higher temperatures, phonon scattering dominates. Longi- tudinal acoustic phonons, for example, alternately compress and dilate the lattice (see Fig. 3.2b); the resulting strain deforms the band edges, leading to deformation poten- tial scattering. In semiconductors for which there is no crystal inversion symmetry, such as GaAs, the strain may generate a potential via the piezoelectric effect, leading to piezoelectric scattering. Si and GaAs both have two atoms per unit cell. When these atoms move in opposite directions, optic phonons are generated (see Fig. 3.2c). When the two atoms become ions due to electron exchange, as is the case in the formation of compound semiconductors such as GaAs, electric fields are created and we have polar optic phonon scattering. This is the dominant scattering mechanism in GaAs at room temperature. More details on scattering are given in Wolfe et al. [1, Section 6.6] and in References [2, 3]. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 4.2 The Fermi level 51 In this book, we don’t treat scattering at the microscopic level. Instead, we allow all such details to be absorbed into a macroscopic quantity called mobility, as described in Chapter 5. No matter how you view the interactions between the carriers and other entities in the crystal, they serve to randomize the carrier velocities. So, in equilibrium, the bands contain certain numbers of electrons and holes, and the velocities of these carriers are randomized: there is a lot of motion but no net current. 4.2 The Fermi level The problem of finding the most probable distribution of electrons among the available states in the conduction and valence bands is a problem in statistical mechanics [1, Sections 4.1, 4.2]. Here, we follow Talley and Daugherty [4] and arrive at the desired distribution function in a relatively non-mathematical way by considering one of the types of collisions (elastic, electron-electron) that are instrumental in maintaining thermal equilibrium. Let two electrons have energies E 1 and E 2 before a collision and energies E / 1 and E / 2 after the collision. No energy is lost to other processes in an elastic collision, so [E / 1 − E 1 ] ÷[E / 2 − E 2 ] = 0 . (4.1) For this collision to happen there must be filled states at energies E 1 and E 2 , and empty states at E / 1 and E / 2 . The latter requirement is crucial to our argument, and is a recognition of Pauli’s Exclusion Principle, i.e., no two electrons may exist in the same quantum state at the same time. Calling the probability of occupancy f (E), we have for the rate of this collision r 1.1 / :2.2 / = C f (E 1 ) f (E 2 )[1 − f (E / 1 )][1 − f (E / 2 )] . (4.2) where C is the rate constant. To maintain thermal equilibrium, elsewhere in the semiconductor a collision must be occurring, at the same rate as the above collision, involving electrons with energies E / 1 and E / 2 , with the result that the new energies of these electrons are E 1 and E 2 . For this collision to occur there must be filled electron states at energies E / 1 and E / 2 , and empty states at E 1 and E 2 . This leads to r 1 / .1:2 / .2 = C f (E / 1 ) f (E / 2 )[1 − f (E 1 )][1 − f (E 2 )] . (4.3) Equating (4.2) and (4.3), dividing by f (E 1 ) f (E 2 ) f (E / 1 ) f (E / 2 ), we end up with _ 1 f (E 1 ) −1 _ _ 1 f (E 2 ) −1 _ = _ 1 f (E / 1 ) −1 _ _ 1 f (E / 2 ) −1 _ . (4.4) The solution to this equation, as can be verified by substitution, is _ 1 f (E) −1 _ = Ae βE . (4.5) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 52 4 Thermal equilibrium where A and β are constants to be determined. Thus, f (E) = [1 ÷ Ae βE ] −1 . (4.6) where we realize that both constants must be positive for f (E) to be not greater than 1, and for the states with the lowest energy to be the ones most likely to be occupied. To identify β, consider some large energy E such that there is very little chance of quantum states at this energy being filled by electrons, i.e., f (E) ≈ 1 A exp(−βE) _1 . (4.7) In such a situation there’s very little chance of two electrons vying to occupy the same state, i.e., the electrons are essentially non-interacting. A similar situation pertains to gas molecules in an ideal gas, for which it is well-known from Thermal Physics that the probability that a molecule has an energy E is ∝ exp(−E,k B T), where T is the absolute temperature and k B = R,N is Boltzmann’s constant; R is the gas constant and N is Avogadro’s number. Thus β can be seen to be a universal constant, given by 1,k B T. It remains to label the constant A in (4.6); it is defined as exp(−E F ,k B T), where E F is called, in the subject of semiconductor devices, the Fermi level. 2 Thus, from (4.7), the electron distribution function under conditions of thermal equilibrium is f FD (E) = 1 1 ÷exp[(E − E F ),k B T] . (4.8) This is the Fermi-Dirac distribution function, the form of which is illustrated in Fig. 4.2. We can also refer to f FD (E) as the probability of an electron occupying a state of energy E at thermal equilibrium because it varies in value from 0 to 1, as we would expect from having invoked the Pauli Exclusion Principle in its derivation. Note that the probability of occupancy of states with energy E falls off rapidly as E exceeds E F . In n-type semiconductor material there are many electrons that must be accommodated in the conduction band by the filling-up of states. Clearly, in such a material, states will be filled-up to higher energies than in the case of p-type material, in which there are relatively few electrons. For higher energy states to have a higher probability of being filled, it follows that the Fermi level must be raised, i.e., the Fermi level is higher in energy for n-type material than it is for p-type material. Exactly how much higher depends on the relative doping levels, of course. This link between E F and the equilibrium electron concentration n 0 is established in the next section. 2 In Physics, E F is called the Fermi level only at T = 0 K. At higher temperatures it is properly called the chemical potential (see Section 6.1). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 4.3 Equilibrium carrier concentrations and the Fermi level 53 120 100 80 60 40 20 0 −20 −40 −60 −80 −100 −120 Temperature (K) 500 300 100 0 0 0.2 0.4 0.6 0.8 1.0 f (E) E − E F ( m e V ) Figure 4.2 The Fermi-Dirac distribution function for various temperatures. Reproduced from Pulfrey and Tarr [5, Fig. 4.2]. 4.3 Equilibrium carrier concentrations and the Fermi level Adding bounds to the bands, (3.33) for the equilibrium concentrations of electrons and holes becomes n 0 = _ top of band E C g C (E) f FD (E) dE p 0 = _ E V bottom of band g V (E)[1 − f FD (E)] dE . (4.9) where the subscript ‘0’ indicates equilibrium, and f FD (E) is the Fermi-Dirac distribution function. To actually evaluate the carrier-concentration integrals it is convenient to assign the limits of ÷∞and −∞to the top of the conduction band and to the bottomof the valence band, respectively. This is not likely to cause significant error in the evaluation of the integrals because it turns out that E F in semiconductors is usually either in the bandgap, or close to one of the band edges, so f FD (E) rapidly goes to zero for energies high in P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 54 4 Thermal equilibrium Table 4.1 Effective densities-of-states and intrinsic carrier concentrations for Si and GaAs. The values are for T = 300 K and have been computed using the density-of-states effective masses for this temperature from Table 3.2. N C N V n i Semiconductor (cm −3 ) (cm −3 ) (cm −3 ) Si 3.2 10 19 1.8 10 19 9.5 10 9 GaAs 4.2 10 17 9.4 10 18 2.4 10 6 the conduction band, as does [1 − f FD (E)] for energies low in the valence band. The result from (4.9) for electrons is n 0 = N C F 1,2 (a F ) . (4.10) where all the material-constant terms have been collected together into N C , which has the units of m −3 for a 3-D device and is termed the effective density of states in the conduction band, given by N C = 2 _ 2πm ∗ e.DOS k B T h 2 _ 3,2 . (4.11) and F 1,2 (a F ) is called the Fermi-Dirac integral of order one-half, given by F 1,2 (a F ) = 2 √ π _ ∞ 0 a 1,2 da 1 ÷exp(a −a F ) . (4.12) where a = (E − E C ),k B T and a F = (E F − E C ),k B T. Fermi-Dirac integrals are listed as tabulated functions [1, Appendix B], and formulae exist for their approximate evaluation [6]. A very convenient approximation to F 1,2 (a F ) arises if a F - −2: F 1,2 (a F ) →exp(a F ) . (4.13) which then enables (4.10) to be written concisely as n 0 = N C exp _ E F − E C k B T _ . (4.14) Values for N C and for N V , the corresponding effective density of states in the valence band, are tabulated in Table 4.1. Equation (4.14) is known as the Maxwell-Boltzmann expression for the equilibrium electron concentration. It is compared with the full Fermi-Dirac expression of (4.10) in Fig. 4.3. The limit of validity of (4.14) is seen to be (E C − E F > 2k B T); this corresponds to n 0 ,N C - 0.4, i.e., to doping densities of about 10 19 cm −3 and 10 17 cm −3 in Si and GaAs, respectively. The corresponding equation for holes, under conditions such that P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 4.3 Equilibrium carrier concentrations and the Fermi level 55 Exact Fermi-Dirac relation Maxwell-Boltzmann approximation Band gap Conduction band 10 5 0 −5 −10 10 −4 10 −3 10 −2 10 −1 10 1 n 0 N C E F − E C k B T Figure 4.3 Comparison of the Fermi-Dirac ((4.10)) and Maxwell-Boltzmann ((4.14)) expressions for n 0 . Reproduced from Pulfrey and Tarr [5, Fig. 4.3]. (E F − E V ) > 2k B T is p 0 = N V exp _ E V − E F k B T _ . (4.15) The Maxwell-Boltzmann expressions are instructive: if n 0 is increased by adding more donors, for example, (4.14) informs that E F will move closer to the conduction-band edge; if p 0 is increased by adding more acceptors, (4.15) informs that E F will move closer to the valence-band edge. Thus, the inclusion of E F on an energy-band diagram provides a convenient visual aid for ascertaining at a glance whether a region is doped n-type or p-type, and it also gives some indication of the extent of the majority carrier concentration. The Maxwell-Boltzmann expressions (4.14) and (4.15) are so-named because their exponential dependence on energy and on the reciprocal of k B T is characteristic of the eponymous distribution function, namely f MB (E) = e − (E−E F ) k B T . (4.16) Physically, a Maxwell-Boltzmann distribution function represents, in the case of semi- conductors, the situation where the quantum states in the band can be filled without regard to Pauli’s Exclusion Principle. This can only be a reasonable approximation when the number of electrons, for example, is far less than the number of available states in the conduction band, i.e., when the likelihood of more than one electron occupying the same state is very small. Evidently, as we have just seen, this is the case for n 0 ,N C - 0.4. When the doping density is such that this condition does not hold, the material is said to be degenerate. In this circumstance, Fermi-Dirac statistics should be used; E F will pen- etrate higher into the conduction band than predicted by Maxwell-Boltzmann statistics, as Fig. 4.3 shows. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 56 4 Thermal equilibrium 4.4 Equations involving intrinsic properties Often, it is useful to express the carrier concentrations in terms of their intrinsic, equi- librium values. For intrinsic material, (4.14) and (4.15) apply, and they give n i = N C exp _ E Fi − E C k B T _ p i = N V exp _ E V − E Fi k B T _ . (4.17) where E Fi is the Fermi energy for intrinsic material. Equating these expressions, and substituting for the densities of states gives E Fi − E V = E g 2 ÷ 3k B T 4 ln _ m ∗ h.DOS m ∗ e.DOS _ . (4.18) where E g = (E C − E V ) is the bandgap of the semiconductor. For Si, where E g ¸k B T and the electron and hole density-of-states effective masses are not too dissimilar, it follows that E Fi lies almost at the middle of the bandgap. Multiplying n i by p i , and noting that n i = p i , gives n i = _ (N C N V ) exp _ −E g 2k B T _ . (4.19) This equation shows that n i is a temperature-dependent material constant, and that the intrinsic carrier concentration drops drastically as the bandgap increases, as hinted at in Section 2.7 when discussing the difference between semiconductors and insulators. Note that the product of (4.14) and (4.15) is independent of the Fermi energy. It follows that n 0 p 0 = n i p i = n 2 i . (4.20) However, remember that (4.14) and (4.15) are not correct when the doping is very high, i.e., they only apply to non-degenerate conditions. Check the text following (4.16) to appreciate the doping-density limitation to (4.20). The extent of the departure of the product n 0 p 0 from the value of n 2 i at high doping levels can be appreciated by doing Exercise 4.2. One example of the use of (4.20) is in the computation of the equilibrium carrier concentration in a uniform, field-free region of a semiconductor, e.g., in the bulk of the emitter of a diode or an HBT, or in the body of a MOSFET (see Exercise 4.3). In these circumstances it follows that local charge neutrality exists, 3 namely q( p 0 −n 0 ÷ N D − N A ) = 0 . (4.21) 3 More generally, out-of-equilibrium, but in steady-state operation, the semiconductor device will be overall neutral: _ volume q [ p(x. y. z) −n(x. y. z) ÷ N D (x. y. z) − N A (x. y. z)] dx dy dz = 0. This can be appre- ciated from the facts that: the semiconductor starts out neutral; electrons and holes, or charge carriers and oppositely ionized dopants, are generated together; electrons and holes recombine in pairs; if there is a steady-state current, as one charge enters the device, another must leave it. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 4.5 Mean unidirectional velocity of an equilibrium distribution 57 In conjunction with (4.20), (4.21) yields n 0 = X ÷ _ X 2 ÷4n 2 i 2 . (4.22) where X = N D − N A . The carrier concentrations can be expressed in terms of the intrinsic carrier concentra- tion by combining each of (4.14) and (4.15) with (4.17) to give alternative expressions for n 0 and p 0 : n 0 = n i exp _ E F − E Fi k B T _ p 0 = n i exp _ E Fi − E F k B T _ . (4.23) Again, please note that these expressions only hold for non-degenerate conditions. 4.5 Mean unidirectional velocity of an equilibrium distribution The equilibrium spectral carrier concentration for electrons from (3.33) is n 0 (E) = g C (E) f 0 (E) . (4.24) This expression can be evaluated easily when f 0 is expressed as a Maxwell-Boltzmann distribution: f MB (E) = e − E−E F k B T ≡ e − E−E C ÷E C −E F k B T = n 0 N C e − E−E C k B T . (4.25) The result for the case of n 0 = 10 19 cm −3 is shown in Fig. 4.4, where the distribution has been split-up into two parts. The distribution on the right is that for the electrons with positive crystal momentum ÷k e , or, equivalently, for example, with a velocity component in the positive x-direction, while the one on the left is its oppositely directed counterpart. Each half of the distribution contains exactly n 0 ,2 electrons, and is called a hemi-Maxwellian in this case. 4 At any plane in the material, the flow of electrons in the positive-going distribution will be opposed by the flow of negative-going elec- trons from a neighbouring distribution, so, as must be the case at equilibrium, there is no net current. However, if the conditions in a device were near-equilibrium, but the distributions were slightly different in neighbouring locations, due to a non-uniform 4 If Fermi-Dirac statistics had been used, each half of the distribution would be a hemi-Fermi-Diracian. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 58 4 Thermal equilibrium 1 0.5 0 0.5 1 0 0.05 0.1 0.15 0.2 g C (E)f MB (E) (eV −1 −3 cm /10 20 ) E – E C ( e V ) Figure 4.4 The full Maxwellian distribution, comprising two, counter-propagating hemi-Maxwellian distributions, for the equilibrium case of n 0 = 10 19 cm −3 . doping density, for example, then the opposing charge flows at an intermediate plane would not cancel, and there would be a current. This is an example of diffusion. Other examples where the current due to a near-equilibrium hemi-Maxwellian or hemi-Fermi- Diracian might arise are in the injection of carriers into the base of an HBT or into the channel of a FET. Thus, it is useful to compute the current due to each of these hemi- distributions. To do so, we must first determine the mean speed of the electrons in the total distribution. The mean speed of electrons in a distribution at thermal equilibrium is defined as : t h = _ ∞ 0 :n 0 (:) d: _ ∞ 0 n 0 (:) d: . (4.26) where n 0 (:) is the velocity-spectral concentration of electrons at equilibrium, i.e., the number of electrons per m 3 per unit velocity. The denominator is just the total electron concentration, as given by (4.10). To deal with the numerator we need an expression for n 0 (:). To obtain this, take n(E) = g C (E) f 0 (E), substitute for the density of states from (3.32) and for the Fermi-Dirac function from (4.8), and then make the transformation from an energy distribution to a velocity distribution via the kinetic-energy expression (2.41): (E − E C ) = 1 2 m ∗ t h : 2 . (4.27) where m ∗ t h is an appropriate effective mass, which will be identified in the following subsection. The result is n 0 (:) = 8π h 3 (m ∗ e.DOS m ∗ t h ) 3,2 : 2 1 ÷exp[m ∗ t h : 2 ,2k B T] exp[(E C − E F ),k B T] . (4.28) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 4.5 Mean unidirectional velocity of an equilibrium distribution 59 X v th dq q Figure 4.5 A ‘velocity-sphere’ approach to computing the average x-directed velocity. The radius : t h is the mean thermal speed. As the electron velocities are randomly distributed in direction, the number of electrons with velocities in the range θ to θ ÷dθ is related to the area of a strip of length 2π: t h sin θ and of width : t h dθ. To express this as a fraction of the total number of electrons, we divide by 4π: 2 t h , to get sin θdθ 2 . Each stream of electrons has a velocity component : t h cos θ in the x-direction. Integrating from 0 to π,2 completes the calculation of the mean, unidirectional velocity. Reproduced from Pulfrey and Tarr [5, Fig. 8.5]. Substituting this into the numerator of (4.26), integrating, and dividing by n 0 , the result for the mean thermal speed from (4.26) is : t h = _ ∞ 0 : 3 _ 1 ÷exp(m ∗ t h : 2 ,2k B T ÷(E C − E F ),k B T) ¸ −1 d: _ ∞ 0 : 2 _ 1 ÷exp(m ∗ t h : 2 ,2k B T ÷(E C − E F ),k B T) ¸ −1 d: ≡ _ ∞ 0 a [1 ÷exp(a −a F )] −1 da _ ∞ 0 a 1,2 [1 ÷exp(a −a F )] −1 _ m ∗ t h ,2k B T da = ¸ 8k B T πm ∗ t h F 1 F 1,2 . (4.29) where, following the notation of (4.12), the substitutions a = (E − E C ),k B T = m ∗ t h : 2 ,2k B T and a F = (E F − E C ),k B T have been made, and the final result has been cast in the form of a material-dependent constant and a ratio of Fermi-Dirac inte- grals. Note that for non-degenerate cases, the ratio of the two integrals is essentially unity. The resulting : t h is to be understood as the speed (magnitude of the velocity) of an electron stream in any particular direction. As no one-particular direction is favoured in thermal equilibrium, the electron motion is random. However, if all the randomvelocities are resolved along a particular direction, as shown in Fig. 4.5, then the average velocity component, ¸: x ) for example, is found to be : t h ,4, as explained in the figure caption. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 60 4 Thermal equilibrium Table 4.2 Mean, unidirectional thermal velocities for electrons at 300 K in Si and GaAs, for various doping densities. The conductivity effective-masses for electrons and holes are from the expressions given in Section 5.4. m ∗ h.CON m ∗ e.CON n 0 . F 1 ,F 1,2 : R.e Semiconductor (m 0 ) (m 0 ) (cm −3 ) (nm/ps) 1 10 18 1.0 53 Si 0.40 0.26 1 10 19 1.0 53 1 10 20 1.3 69 1 10 18 1.2 125 GaAs 0.39 0.066 1 10 19 2.1 218 1 10 20 4.5 468 This mean, unidirectional velocity is written as : R . 5 Thus, : R = ¸ k B T 2πm ∗ t h F 1 F 1,2 . (4.30) 4.5.1 Effective mass and : R The effective mass in (4.30) must be related in some way to the unidirectional motion of electrons. For spherical constant-energy surfaces and a single band, m t h is simply the band effective mass. This is the case for electrons near the conduction-band minimum in GaAs. For the ellipsoidal constant-energy surfaces applicable to Si, the 6 equivalent conduction-band minima lie along the ¸100) directions (see Fig. 2.12). Thus, for a specific uni-direction within this set, m ∗ l applies to two of the ellipsoids, and m ∗ t applies to the other four. An effective mass that takes this anisotropy into account is the conductivity effective mass, m ∗ CON . In Section 5.4 we derive this parameter, both for electrons and ellipsoidal constant-energy surfaces, and for holes and two, non-interacting spherical constant-energy surfaces. Suffice to say here, we take m ∗ t h ≡ m ∗ CON . (4.31) With this interpretation of m ∗ t h , some values of : R for electrons in Si and GaAs are as given in Table 4.2. The Fermi-Dirac integrals were evaluated using the short-series approximations given in Ref. [6]. 4.5.2 Current and : R Now that we know the concentration of carriers and their mean, unidirectional velocity, we can appreciate that the average flux of electrons in the x-direction, for example, is n 0 : R . A more instructive way of writing this is n 0 2 2: R , as this makes it explicit that only 5 The subscript R comes from Sir Owen W. Richardson, Nobel laureate for physics in 1928 for ‘his work on the thermionic phenomenon and especially for the discovery of the law named after him’. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 61 one-half of the electrons in the distribution actually have a component of velocity in the positive x-direction. Evidently, for each of the counter-directed hemi-distributions, comprising n 0 ,2 electrons and illustrated in Fig. 4.4, the mean unidirectional velocity is 2: R . Formally, this fact follows from the construction in Fig. 4.5 by simply dividing by the area of a hemisphere, rather than by that of a sphere. Thus, the current densities due to the right- and left-going hemi-distributions are ¯ J e.→ = −q n 0 2 2: R ˆ x ¯ J e.← = −q n 0 2 (2: R )(−ˆ x) . (4.32) Each component can be of enormous magnitude (≈ 8 10 6 A/cm 2 for n 0 = 10 19 cm −3 in Si, for example), but they cancel each other exactly, as required of an equilibrium distribution. Exercises 4.1 Confirm the symmetry of the Fermi-Dirac distribution function about E F by show- ing that the probability of a state of energy LE above E F being occupied is the same as the probability of a state of energy LE below E F being empty. 4.2 Consider p-type Si for 3 different cases of boron doping, i.e., 10 16 cm −3 , 10 18 cm −3 , and 10 20 cm −3 . (a) Calculate the equilibrium carrier concentrations, p 0 , n 0 , and the Fermi-level position, (E F − E V ), for each case at 300K. For the majority carrier concen- tration use Fermi-Dirac statistics. (b) Determine the validity of p 0 n 0 = n 2 i , where the intrinsic carrier concentration is given by (4.19). (c) The reason why this equation is not satisfied at high doping densities is some- times referred to as ‘Pauli blocking’. Suggest what this term may mean. 4.3 A diffused-junction n-p diode is made by diffusing phosphorus into boron-doped silicon. The concentrations of both dopants can be taken to be uniform, and to have values of N A = 10 16 cm −3 and N D = 10 17 cm −3 . Evaluate the equilibrium carrier concentrations in the diffused region at 300 K. 4.4 Some semiconductor devices are rated to operate at temperatures of about 200 ◦ C. In GaAs at this temperature, is it reasonable to assume that E Fi − E V ≈ E g ,2? 4.5 Taking into account the temperature dependence of the effective density of states N C and N V , but ignoring the slight reduction in bandgap with temperature, at what temperature does n i in Si reach the value of a typical doping density, say 10 17 cm −3 ? 4.6 The E-k relationships for the conduction bands of two semiconductor materials, A and B, can be expressed as E A − E C = αk 2 and E B − E C = 2αk 2 , P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 62 4 Thermal equilibrium respectively, where α is a constant, and E C is the energy of the bottom of the conduction band. Consider each material to be moderately doped n-type, with the same concen- tration of donors, all of which can be taken to be ionized. Which material would have its Fermi energy closer to E C ? 4.7 Given that m ∗ t h = 0.40m 0 for holes in silicon, compute the mean, unidirectional velocity for holes at 300 K when the p-type doping density is: (a) 10 18 cm −3 , and (b) 10 20 cm −3 . 4.8 Use the value of : R.h calculated in the previous question for the case of p 0 = 10 18 cm −3 to evaluate the current density due to a hemi-Maxwellian distribution of these holes. References [1] C.M. Wolfe, N. Holonyak, Jr. and G.E. Stillman, Physical Properties of Semiconductors, Prentice-Hall, 1989. [2] J.H. Davies, The Physics of Low-dimensional Semiconductors, Secs. 8.1–8.4, Cambridge University Press, 1998. [3] M.S. Lundstrom, Fundamentals of Carrier Transport, Chap. 2, Cambridge University Press, 2000. [4] H.E. Talley and D.G. Daugherty, Physical Principles of Semiconductor Devices, Chap. 5, Iowa State University Press, 1976. [5] D.L. Pulfrey and N.G. Tarr, Introduction to Microelectronic Devices, Prentice-Hall, 1989. [6] R. Kimand M.S. Lundstrom, Notes on Fermi-Dirac Integrals, 3rd Edn., posted Sept. 23, 2008. Online [http://nanohub.org/resources/5475/]. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5 Charge transport The picture of charge carriers that should have emerged so far is one of electrons and holes moving through the semiconductor in momentum states. The carriers are continually being generated and annihilated, and they are also frequently scattered to new momentum states via collisions with vibrating atoms, ionized impurities and other carriers. In thermal equilibrium large fluxes are present, but there is no net current. To disturb this equilibrium, and obtain a non-zero net flow of charge, we need to establish some driving force within the semiconductor. Fundamentally, this driving force is a gradient in energy. It can be manifest as a gradient in the potential energy, which is related to the electrostatic potential ψ, and as a gradient in kinetic energy. If each of the n electrons per m 3 has a kinetic energy u, the total kinetic energy density W is nu. Gradients in both n and u can produce a current. The main objective of this chapter is to describe and characterize the currents due to each of these three gradients. The above picture is one of dissipative transport, i.e., one in which the directed momentum of electrons injected into a region from a hemi-Maxwellian distribution, for example, is dissipated by scattering events. If the region is so short that the injected electrons can traverse it without being scattered, then we have ballistic transport. In most of the devices considered in this book, dissipative transport is more prominent, but ballistic transport does occur in tunnelling, for example, and is likely to occur in future nanoscale devices, as briefly discussed in Chapter 18. 5.1 Charge, current and energy Charge, current and energy figure prominently in this chapter. Here, we give the fun- damental definitions of the densities of these properties. To keep things simple, we assume parabolic bands with an isotropic effective mass, and write the expressions for the densities of current and kinetic energy in terms of only the x-directed component. The starting point in all three cases is the expression for carrier density n, as given for the 3-D case by (3.27). Multiplying this equation by −q gives an expression for the electron charge density: −qn = −q 1 4π 3 _ ¯ k f ( ¯ k) d ¯ k . (5.1) Multiplying (3.27) by −q: x , where : x = (1,)dE,dk x is the x-directed velocity, attributes a velocity to each occupied state, the sum of which leads to the x-directed 63 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 64 5 Charge transport current density: J e.x = −q 1 4π 3 _ ¯ k : x f ( ¯ k) d ¯ k . (5.2) Multiplying (3.27) by m ∗ : 2 x ,2 gives the x-directed kinetic energy density: W e.x = 1 4π 3 _ ¯ k 1 2 m ∗ : 2 x f ( ¯ k) d ¯ k . (5.3) The distribution function, f ( ¯ k), or to give it its full set of dependencies, f (¯ r. ¯ k. t ), must be found for the non-equilibrium conditions necessary for a net current to exist. This is a difficult task. To begin to solve the problem, we must first formulate an equation that describes how f might change in both real space and in k-space in response to relevant forces. 5.2 The Boltzmann Transport Equation The full, 6-D(¯ r. ¯ k)-environment is called phase space. Here, for simplicity, we’ll restrict our discussion to just two dimensions of this space, x and k x . Recall fromSection 2.9 that the crystal momentum k is the momentum due to forces other than the periodic crystal forces. It is these other forces that we are interested in, so we can view f (x. k x . t ) as the probability of an electron at position x having a momentum k x at time t . The fact that we are simultaneously specifying a position and a momentum means that we are treating the electron classically. This is consistent with the effective-mass formalism in which the group velocity of a wavepacket is involved. In fact, the treatment is semi-classical, because there is some quantum mechanics involved in getting the group velocity and in describing scattering processes microscopically. With this understanding of the situation, under the action of some force F x , an electron will move a distance : x Lt in time Lt , where : x = (1,)dE,dk x is the x-directed velocity. The electron will also change its momentum according to Lk x ,Lt = F x . As we are saying that the electron has moved from one state in phase space to another state, the probability of occupancy of these two states must be the same, thus f (x. k x . t ) = f _ x ÷: x Lt. k x ÷ F x Lt. t ÷Lt _ . (5.4) Taking Lt as being very small permits a Taylor series expansion of the right-hand side to first order. Equation (5.4) then becomes ∂ f ∂t = −: x ∂ f ∂x − F x ∂ f ∂k x . (5.5) Now, let us specify the force as being due to an applied electric field E x and due to a scattering force F x. coll . The former force is given by −qE x , and the latter is defined from ∂ f ∂t ¸ ¸ ¸ ¸ coll ≡ − 1 ∂ f ∂k x F x. coll . (5.6) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.2 The Boltzmann Transport Equation 65 where we have reverted to the label ‘coll’ for scattering events, in keeping with the more classical picture of collisions involving interactions of particles with atoms, ions and other charge carriers. Adding in the forces, we obtain the 1-D version of the Boltzmann Transport Equation ∂ f ∂t = −: x ∂ f ∂x ÷ qE x ∂ f ∂k x ÷ ∂ f ∂t ¸ ¸ ¸ ¸ coll . (5.7) This is a ‘balance equation’ for the distribution function: driving forces due to kinetic energy (related to velocity) and potential energy (related to field) are moderated by the restoring force of collisions. Much of the difficulty involved in solving this equation comes from having to specify in a tractable way the complicated, microscopic nature of scattering. 5.2.1 The Method of Moments Rather than describe how to solve the Boltzmann Transport Equation (BTE) directly for f , we appeal to the Method of Moments to arrive at useful expressions for the properties of more interest to us: charge density, current density and kinetic-energy density. The method involves multiplying each term of the BTE by some factor ϒ and integrating over d ¯ k,4π 3 . We include : x in ϒ, and label the moments according to the power to which : x is raised. Thus, we seek to solve for: −qn in (5.1) using the zeroth-order moment, J e in (5.2) using the first-order moment and W e in (5.3) using the second-order moment. We followthe approach of Datta [1], and we make use of the general result of applying the Method of Moments to the BTE: ∂ ∂t +÷∇ · J + = G + − R + . (5.8) where + is −qn, J e , or W e , according to the order of the moment being considered. In (5.8), J + = ¯ :+ is the flux of +, G + is the rate at which + is generated by the electric field, and R + is the rate at which + is lost due to scattering. It is permissible to write ∇ · J + in this manner, instead of as ¯ : · ∇+, because ¯ :, the group velocity, is a function of k only. The order of the terms in (5.8) is different from that in the BTE, and the equation has been written in 3-D form to distinguish between divergence and gradient. With these changes, (5.8) has a very clear physical interpretation: the difference between the generation and loss of + in a volume serves to either increase + with time in the volume, or to result in a net flow of + out of the volume. The situation for steady-state conditions is illustrated in Fig. 5.1. 5.2.2 The continuity equations The results for each term in (5.8) for the first three moments are tabulated in Table 5.1. For the charge density, there is no generation term because the electric field does not generate any charge. Also, there is no loss due to scattering because charge is not lost in P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 66 5 Charge transport Table 5.1 Terms in (5.8) for the first three moment-solutions to the BTE. ϒ + J + G + R + Continuity of: −q: 0 x −qn J e.x 0 0 charge density −q: x J e.x −2qW e.x ,m ∗ q 2 nE x ,m ∗ J e.x ,¸¸τ M )) current density m ∗ : 2 x ,2 W e.x S e.x J e.x E x (W e.x − W 0 ) ,¸¸τ E )) kinetic energy density G R (v ) out Φ (v in Φ) Figure 5.1 Schematic representation of the continuity equation (5.8), for the case of a 1-D flow of some property +. A flux of :+ carries 3 units of + at a velocity : into the volume from the left. Two units are lost by scattering, and one unit is generated by the internal field. The situation shown here is for steady-state conditions, so 2 units of + exit to the right at velocity :. the scattering process, it is merely redistributed among the allowed momentum states. 1 Thus, the charge continuity equation for electrons is ∂n ∂t = 1 q ∂ J e ∂x . (5.9) The current-density continuity equation describes the fact that current is generated by the field, and lost due to scattering. This loss is actually a loss in momentum, which is described by the momentum relaxation time τ M . In general, one would expect the time dependence of the current, after the removal of the field, to be of the form J(t ) = J(0)e −t ,τ , which gives d J dt = − J(t ) τ ≡ −R + . (5.10) Substituting for R + for the first-order moment term involving ∂ f ,∂t for collisions, reveals the precise form that τ must have: ¸¸τ M )) = − _ k x f d ¯ k _ k x ∂ f ∂t ¸ ¸ ¸ coll d ¯ k . (5.11) 1 Note that we are only considering conditions within one band, the conduction band in this case. If we were also to consider the valence band, then G + would not be zero if impact ionization were occurring, and R + would not be zero if recombination were happening. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.2 The Boltzmann Transport Equation 67 where the double brackets signify the special averaging explicit in (5.11). The J + term in the first-order-moment equation involves : 2 x , which is clearly related to the x-directed kinetic-energy density. Therefore, ∇ · J + is a flux of kinetic-energy density. Thus, the meaning of the first-order-moment equation is: if the loss of x-directed momentum due to scattering is greater than the gain of x-directed momentum due to the field, then, to maintain the steady state, there must be a net inflow of kinetic energy density. In the kinetic-energy-density continuity equation the generation termis the product of the current density and the field, i.e., the electrons constituting J e.x are accelerated by E x , thereby gaining kinetic energy. This gain is countered by scattering events that randomize the kinetic energy. The latter are described by a properly averaged energy relaxation time ¸¸τ E )). The principal parameters in the flux term J + are : x m: 2 x n. Check out the units of this and you’ll find they are, as expected, J/m 2 s. Equivalently, the units are W/m 2 , which are more comprehensible because they inform that the flux of kinetic- energy density is just a power density. A practical example of this is the irradiance of the sun, which we’ll encounter in Chapter 7. The symbol used here for the flux is S e.x . It is an elusive task to evaluate n, J e.x or W e.x from the moment equations that can be assembled by substituting the terms from Table 5.1 into (5.8). If we want to evaluate n, we need J e.x . The next moment equation gives us J e.x , providing we know W e.x . The next moment equation would allow evaluation of W e.x if the flux of kinetic energy density S e.x were known. And so it goes on. To get closed-form solutions for the properties of interest, we must make some approximations. Two approximations that are widely used for the design and analysis of semiconductor devices are described in the next two subsections. The Drift-Diffusion Equation The electron kinetic-energy density arises from a concentration of n electrons, in which each electron possesses some kinetic energy. In 1-D W e.x = n¸u x ) . (5.12) where ¸u x ) is the mean, x-directed kinetic energy of an electron. The single brackets indicate that the average is performed over the distribution function, i.e., for the parabolic band case, ¸u x ) = 1 n 1 4π 3 _ _ 1 2 m ∗ : 2 x _ f d ¯ k . (5.13) Thus, the divergence term in (5.8) can be written as −2 q m ∗ d dx W e.x = −2 q m ∗ _ ¸u x ) dn dx ÷n d¸u x ) dx _ . (5.14) To obtain the Drift-Diffusion Equation, we make the assumption that the contri- bution to the kinetic-energy density gradient from the spatial change in the electron concentration is much greater than the contribution from any spatial change in the aver- age kinetic energy of each electron. Thus, in steady-state, the current-density continuity P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 68 5 Charge transport equation yields J e.x = qnj e E ÷q D e dn dx . (5.15) where the electron mobility and diffusivity are defined from: j e ≡ q m ∗ ¸¸τ M )) . (5.16) and D e ≡ 2j e q ¸u x ) . (5.17) respectively. The latter equation is often called the Einstein Relation, and reduces to the familiar D e ,j e = k B T,q when the mean, x-directed kinetic energy is given by ¸u x ) = k B T,2. This occurs for low doping densities under near-equilibrium conditions, as can be verified by doing Exercise 5.3. Understand that the mobility is a macroscopic representation of the microscopic scattering processes that are specified by the average momentum relaxation time. The Drift-Diffusion Equation (DDE) has been the ‘workhorse’ for device engineers for decades, and it continues to be useful for modern devices in many situations, as we show in later chapters of this book. Physically, the approximation that we made in order to get the DDE is justifiable in situations where the regions of a device are long enough for any gradients in ¸u x ), due to injection of energetic carriers from a neighbouring region, for example, to be essentially eliminated by randomizing scattering events. This situation may not apply to some modern transistors, for which the trend is towards smaller and smaller devices. The Hydrodynamic Equations When neither component of the gradient in kinetic-energy density can be ignored, we need to proceed to the next order of continuity equation before making any approxima- tions. Looking at the continuity equation for W, we see that we need to know something about the flux of kinetic-energy density S, which, basically, is the product of W e and some velocity that describes the electron ensemble. This velocity comprises a compo- nent due to the applied field, and a component due to the scattering processes [6]. Here, we simplify the situation by ignoring any component due to the field. Thus, we regard the electrons as a sort of ideal gas, with their kinetic energy being related solely to their random motion. From the Kinetic Theory of Gases, this kinetic energy can be described by a temperature. Here, we label the electron temperature as T e , and note that it may differ from the lattice temperature T L . At equilibrium, T e = T L , of course. However, if the electron gas is ‘heated’, by being accelerated in an electric field, for example, then it may gain energy faster than it can lose it to the lattice, so T e will exceed T L . It is in this context that one speaks of ‘hot’ electrons. Having approximated the kinetic energy as that of an ideal gas, we can write W e.x = n¸u x ) ≈ n 1 2 k B T e . (5.18) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.3 The device equation set 69 Because we’ve neglected the drift component of W, the kinetic-energy-density flux (the power density) S is a diffusive flow, which depends on the gradient of T e . Thus, we can write S e.x ≈ −κ e (T e ) ∂T e ∂x . (5.19) where κ e represents the thermal conductivity of the electron gas: it is a function of the electron temperature, and also of the electron mobility and concentration (see Exercise 5.4). Applying (5.18) to (5.14), and then using the resulting expression for ∂W e.x ,∂x in the current continuity equation, leads to a revised expression for the steady-state current density: J e.x = qnj e E x ÷j e k B T e dn dx ÷j e k B n dT e dx . (5.20) The new term makes it explicit that a diffusive current can arise from a temperature gradient. Applying (5.18) and (5.19) to the kinetic-energy-density continuity equation: ∂W e.x ∂t −κ e ∂ 2 T e ∂x 2 = J e.x E x − k B 2 (T e − T L ) ¸¸τ E )) . (5.21) When this energy-density continuity equation is added to the continuity equations for charge density and for current density, the resulting set is a simplified form of the 1-D Hydrodynamic Equations: 2 ∂n ∂t = 1 q ∂ J e ∂x ∂ J e.x ∂t = 2q m ∗ ∂W e.x ∂x ÷ q 2 n m ∗ E x − J e.x ¸¸τ M )) ∂W e.x ∂t = κ e ∂ 2 T e ∂x 2 ÷ J e.x E x − k B 2 (T e − T L ) ¸¸τ E )) . (5.22) 5.3 The device equation set To assemble a master set of equations that is useful for the design and analysis of ‘semi- classical’ devices, we start with the Hydrodynamic Equations (5.22). The first and third equations in this set describe the conservation of charge density and of kinetic-energy density, respectively. In their present form they apply to conservation of charge and kinetic energy of electrons only within the conduction band. To make these equations useful for actual devices, we need to add terms that allow for interband transfer of electrons. Specifically, we must include recombination and generation events. The charge continuity equation is amended to account for the explicit generation of charge carriers due to the optical and impact-ionization processes discussed in Chapter 3. 2 Equations of this form were used in Fluid Dynamics before their application to electron transport. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 70 5 Charge transport We label the sum of these as G op.ii . Thermal generation and all the recombination mechanisms discussed in Chapter 3 are lumped together in a net recombination rate U. Thus, the right-hand side of the first equation in (5.22) is augmented by (G op.ii −U). The continuity equation for kinetic-energy density must also take recombination and generation into account because these processes change the number of carriers in a band and, consequently, the kinetic-energy density. Recombination of one electron, for example, removes 3k B Te,2 of kinetic energy from the electron ensemble in the conduction band. Impact-ionization generation involves a loss of kinetic energy by one electron, but the promotion of another electron to the conduction band, so now two electrons can gain energy from the field. It’s not so obvious how to quantify this process, but it is not unreasonable to attribute to each generation event a kinetic energy gain equal to the bandgap energy [2]. Thus the change in kinetic-energy density due to recombination and generation could be expressed as H = − 3k B T c 2 U ÷ E g G i i . (5.23) where T c is the carrier temperature. Recombination/generation processes involve electrons and holes, so our master set of equations must also contain expressions for the conservation of hole charge, and of hole kinetic-energy density. The second equation in the hydrodynamic set describes the continuity of electron flow (current density). We need to add the corresponding equation for holes. Finally, we must allow for the fact that the concentrations of electron and hole charge densities, −qn and qp, respectively, could lead to local space charge, which would then influence the electrostatic potential ψ. Thus, Poisson’s Equation must be added to our set. Expressing W and S in their approximate forms (see (5.18) and (5.19)), the master set of equations for steady-state and 3-D is: − 1 q ∇ · J e = G op.ii −U e 1 q ∇ · J h = G op.ii −U h J e = −qnj e ∇ψ ÷k B T e j e ∇n ÷k B nj e ∇T e J h = −qpj h ∇ψ −k B T h j h ∇p −k B pj h ∇T h −∇ · (κ e ∇T e ) = −J e · ∇ψ − 3nk B 2 (T e − T L ) ¸¸τ E )) − 3k B T e 2 U ÷ E g G i i.e −∇ · (κ h ∇T h ) = −J h · ∇ψ − 3pk B 2 (T h − T L ) ¸¸τ E )) − 3k B T h 2 U ÷ E g G i i.h −∇ 2 ψ = q c ( p −n ÷ N D − N A ) . (5.24) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.4 Mobility 71 The generation and recombination terms G and U in the charge continuity equations depend on the carrier concentrations n and p, (see Section 3.2). The parameters j and ¸¸τ E )) are material properties, so they can, in principle, be determined from experi- mental measurements. In practice, the energy relaxation time and the carrier thermal conductivities are unlikely to be independent of carrier temperature, and the mobility may be field-dependent because the momentum relaxation time is likely to depend on the applied force. These dependencies can be expressed empirically, or derived from more detailed theoretical treatments. Given this, it is emphasized that there are only two assumptions embedded in the set (5.24). The first is that carrier transport is semi- classical, as we implied when using Newton’s Laws to formulate the BTE. The second is that, for the purpose of describing the kinetic-energy density, the contribution to W of the average velocity that the carriers might have due to the applied field is negli- gible. The latter assumption was made to allow us to simplify the expression for the flux of kinetic-energy density S, and, thereby, to truncate the moment equations into a closed-form set. The set of equations (5.24) comprises 5 independent equations in 5 unknowns, n, p, T e , T h , and ψ. Generally, the set has to be solved numerically, and there are commercial solvers available to do this. 3 Finally, it is noted that, even though the carrier temperatures T e and T h are functions of position, it is implicit in our master set of equations that the lattice temperature T L is constant. Thus, our equations are an isothermal set. To allow for spatial variation in T L , a lattice energy balance equation must be added to the set, and provision must be made for heat to leave the device, through a contact, for example. A simple expression for heat balance in the steady state is ∇ · (κ L ∇T L ) = −( ¯ J e ÷ ¯ J h ) · E . (5.25) where κ L is the material thermal conductivity, and the right-hand side of the equation describes Joule heating. Addition of this equation to (5.24) provides a set of equations for non-isothermal conditions. In Section 16.3.1 we briefly examine the effect of such a non-isothermal condition on the DC and high-frequency characteristics of an HBT. 5.4 Mobility Mobility is defined in (5.13) in terms of the average momentum relaxation time ¸¸τ M )), which is given by (5.11). Because an applied force changes momentum, and some scat- tering mechanisms are momentum dependent, we can expect the mobility to be field dependent. Another way of looking at this is to recognize that electrons are accelerated by an electric field, and move to new momentum states. In the parabolic-band approxi- mation, this is the same as saying the field moves electrons to newvelocities. The average of these field-related velocity changes is called the drift velocity, ¯ : d . 3 For example, ATLAS from Silvaco Data Systems, and MEDICI and Sentaurus from Synopsys, Inc. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 72 5 Charge transport GaAs (electrons) Si (electrons) Si (holes) GaAs (holes) T = 300 K 10 2 10 5 10 6 10 7 10 8 D r i f t v e l o c i t y ( c m s − 1 ) 10 3 10 4 Electric field (Vcm −1 ) 10 5 10 6 Figure 5.2 Drift velocity vs. field for low-doped Si and GaAs. Reproduced with permission from Sze [3, Fig. 2.23], C _John Wiley & Sons, Inc. 1985. From the current-density expressions in our master set of equations (5.24), it can be seen that the component of current that is directly related to the electric field is, using the electron current as an example, qnj e E. The electron drift velocity can be defined from this equation: −qn¯ : de ≡ −qnj e ∇ψ = qnj e ¯ E . (5.26) from which it should be noted that the drift velocity for electrons is in the opposite direction to the field, as dictated by the negative charge on the electron. This fact is hidden when magnitudes are used in the definition of drift velocity, as is often the case: : de (E) = [ ¯ : de (E)[ ≡ j e (E)E . (5.27) Note that the field-dependence of the mobility is included in this definition, so it is not restricted to low-field conditions, under which ¸¸τ M )) could be independent of field, and the relationship between : d and E would be linear. Experimentally, it is possible to measure : d , and some results for Si and GaAs are shown in Fig. 5.2. This is a ‘log-log’ plot, but it can be inferred that the relationship is approximately linear at low fields, after which : d tends to saturate, and even decrease for the case of electrons in GaAs. In Si, an important scattering mechanism for electrons at room temperature is inter- valley scattering, in which the electrons scatter between the six equivalent conduction- band ‘valleys’, which result from taking a cross-section through the six equivalent prolate spheroids shown in Fig. 2.12. As E increases, the scattering rate for this process increases, i.e., ¸¸τ M )) decreases, causing a reduction in j. Eventually, the scattering rate P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.4 Mobility 73 becomes so high that any further increase in field leads only to transfer of energy to the lattice; nothing is left to accelerate the electrons preferentially in the direction of the field, so : de saturates at what is called the saturation velocity, : sat . In GaAs, when intervalley scattering occurs, it is not between equivalent valleys, as these don’t exist in this material. Instead it is to a valley at slightly higher energy (see Fig. 2.9). This valley is less steep-sided than the lower valley, so when electrons are transferred to it their effective mass is increased (see (2.28)). Thus, at high fields in GaAs, j e decreases due to both increased scattering and increased effective mass: this leads to the decrease in : de shown in Fig. 5.2. The figure is compiled from steady-state measurements, and the transport under such conditions is sometimes called stationary. If measurements were made on a time-scale of the order of the momentum relaxation time, then, at high fields, we may anticipate higher drift velocities being recorded, due to there being insufficient time for collisions to establish a steady state. This phenomenon is called velocity overshoot, and can result in peak velocities that are several times higher than those shown in Fig. 5.2. 5.4.1 Empirical expressions for mobility The mobility appears in each of the 3 terms for current in (5.24), so it is important to be able to characterize it in an easily usable form. For Si, this is not difficult because the saturating characteristic of Fig. 5.2 can be approximated simply by 1 : d (E) = 1 j 0 E ÷ 1 : sat . (5.28) where j 0 is a field-independent mobility, which is taken to apply at low fields. Using (5.27) in this equation gives j(E) = j 0 1 ÷ j 0 : sat E . (5.29) The measured low-field mobility j 0 is shown for Si and GaAs in Fig. 5.3. The decrease at high doping density is due to increased ionized-impurity scattering. Useful empirical relationships for j 0 exist, from which the mobility at 300 K can be readily computed. For Si [4], j e0 = 88 ÷ 1252 1 ÷6.984 10 −18 N j h0 = 54.3 ÷ 407 1 ÷3.745 10 −18 N . (5.30) and for GaAs [5], j e0 = 8300 _ 1 ÷ N 3.98 10 15 ÷ N,641 _ −1,3 j h0 = 380 _ 1 ÷3.17 10 −17 N ¸ 0.266 . (5.31) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 74 5 Charge transport 2000 50 200 100 50 20 10 5 20 10 5 2 1 1000 500 200 100 50 20 10000 5000 2000 1000 500 200 100 10 14 10 15 10 16 10 17 Impurity concentration (cm −3 ) 10 18 10 19 10 20 Si GaAs m e , D e m e , D e m h , D h m h , D h D i f f u s i v i t y ( c m 2 s − 1 ) M o b i l i t y ( c m 2 V − 1 s − 1 ) M o b i l i t y ( c m 2 V − 1 s − 1 ) D i f f u s i v i t y ( c m 2 s − 1 ) Figure 5.3 Dependence of low-field mobility j 0 and diffusivity on total impurity concentration. Reproduced with permission from Sze [3, Fig. 2.3], C _John Wiley & Sons, Inc. 1985. where j is in cm 2 V −1 s −1 , and the total impurity concentration N = N A ÷ N D is in cm −3 . 5.4.2 Conductivity effective mass The definition of mobility in (5.16) involves the effective mass. For a single, spherical constant energy surface, as employed in the development of the drift-diffusion and hydrodynamic equations, the relevant effective mass is just the band effective mass m ∗ . For other situations, such as the multiple spherical surfaces in the valence band, and the multiple prolate spheroids in the conduction band of Si, some way of accounting for the multiple contributions to the mobility must be found. This is achieved by writing the expression for the conductivity, σ, in a suitable form. The units of σ are Sm −1 , where S is Siemens, and its value is given by the ratio of the drift current density to the electric field: σ ≡ 1,ρ = q(nj e ÷ pj h ) . (5.32) where ρ is the resistivity. Conductivity effective mass for holes In Si and GaAs, there are two hole bands, the heavy- and light-hole bands, that are degenerate at the tops of the bands (see Fig. 2.9). If the average momentum relax- ation times are the same for holes in each of these bands, we can write the hole P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.5 Current 75 conductivity as σ h ≡ J h.drift E = q 2 ¸¸τ M )) _ p hh m ∗ hh ÷ p lh m ∗ lh _ ≡ q 2 ¸¸τ M )) _ p hh ÷ p lh m ∗ h.CON _ . (5.33) where the band effective masses for the heavy holes and the light holes are identified, and a conductivity effective mass, m ∗ h.CON is defined. We know from the densities-of-states expressions (3.32) that the carrier concentrations are proportional to m ∗ DOS 3,2 , and that, in the spherical case, m ∗ DOS is simply the band effective mass. We can use these facts in the above equation to develop an expression for the hole conductivity effective mass: 1 m ∗ h.CON = _ p hh m ∗ hh ÷ p lh m ∗ lh _ _ 1 p hh ÷ p lh _ = m ∗ hh 1,2 ÷m ∗ lh 1,2 m ∗ hh 3,2 ÷m ∗ lh 3,2 . (5.34) Values for m ∗ h.CON for Si and GaAs are listed in Table 4.2. Conductivity effective mass for electrons in Si Look at Fig. 2.12 for Si, and consider any direction from the set ¸100). In four of the six equivalent conduction bands the electrons would respond to an electric field in the chosen direction with the transverse effective mass m ∗ t . In the other two bands the relevant effective mass would be the longitudinal effective mass m ∗ l . If the n electrons in the material are distributed equally among the six bands, then we can write σ e ≡ J de E = q 2 ¸¸τ M )) n 6 _ 2 m ∗ l ÷ 4 m ∗ t _ ≡ q 2 ¸¸τ M ))n _ 1 m ∗ e.CON _ . (5.35) from which it follows that 1 m ∗ e.CON = 1 3 _ 1 m ∗ l ÷ 2 m ∗ t _ . (5.36) The value for m ∗ e.CON for Si is listed in Table 4.2. 5.5 Current Current density J is defined by (5.2). Physically, it is the net flow of charge through a surface whose normal is parallel to the flow. Fundamentally, the flow is caused by some gradient in energy. One of the components of current in our master set of equations (5.24) arises from a difference in potential energy; this is the drift current. The other two are due to gradients in either of the two contributors to the kinetic energy: the carrier concentration and the carrier temperature. These components are the diffusion current and the thermal current, respectively. Some aspects of these currents are discussed in this section. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 76 5 Charge transport 5.5.1 Drift current From (5.24) and the discussion in the previous section on mobility, the drift-current densities for electrons and holes are ¯ J e.drift = −qnj e (E)∇ψ = qnj e (E) ¯ E = −qn¯ : de (E) ¯ J h.drift = −qpj h (E)∇ψ = qpj h (E) ¯ E = qp¯ : dh (E) . (5.37) Note: for a given field, the electrons and holes drift in opposite directions, but the current densities have the same sign. The actual distribution of the carriers in k-space is not explicit in these equations: it is implicitly taken into account in either j(E) or : d (E). This is, perhaps, a reminder that we know nothing about the actual non-equilibrium distribution function for the electrons and holes. We do know, however, because we have expressed j as a function of E, and because we have allowed : d to reach its saturation value (see Fig. 5.2), that the drift-current expressions can be used up to high values of field, i.e., in situations where we would expect the distribution function to be far from its equilibrium form. To appreciate this, note from Fig. 5.2 that : sat for electrons in Si, and the peak : d for electrons in GaAs, are around 10 7 cm s −1 , which is a very similar value to that of the mean, unidirectional thermal velocity for an equilibrium hemi-Maxwellian distribution (see Section 4.5). Thus, at such fields, : d cannot be viewed as a minor perturbation to 2: R . At low fields, perhaps around 10 2 V cm −1 , then it might be reasonable to view the distribution of carriers as being only slightly perturbed from its equilibrium value. Each electron in a velocity state (parabolic bands) of k,m ∗ could be imagined to be shifted to a state (k,m ∗ ÷: d ) due to acceleration by the field between collisions. Denoting the forward- and backward-going parts of the distribution by subscript arrows, we would have ¯ J e.drift = ¯ J e.drift→ ÷ ¯ J e.drift← = −q n 2 (2¯ : R ÷ ¯ : de ) ÷ _ −q n 2 (−2¯ : R ÷ ¯ : de ) _ = −qn¯ : de . (5.38) where n, rather than n 0 , is used to emphasize the non-equilibrium situation. However, bear in mind that near-equilibrium is implied by the employment of : R in (5.38). This inconsistency is dealt with by characterizing the electron distribution via a quasi-Fermi energy, rather than by the Fermi energy E F (see Chapter 6). The distribution in this low-field case is called a displaced Maxwellian, and is illustrated in Fig. 5.4. The veloc- ity distribution function follows from (4.25) for f MB (E) and from the transformation of energy above E C to velocity given by (4.27). Including the drift velocity : de , the function is f (:) = n 0 N C exp[−m ∗ CON (: −: de ) 2 ,2k B T] . (5.39) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.5 Current 77 0 0.5 1 1.5 2 x 10 5 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 x 10 3 v e l o c i t y d i s t r i b u t i o n f u n c t i o n f ( v ) Figure 5.4 Velocity distribution functions for n = 6.25 10 16 cm −3 in the equilibrium case (solid line) and for a current density of magnitude 10 4 A cm −2 (dashed line). In the example shown, the displacement in velocity is : de = 10 4 m s −1 ≈ 2: R ,10, and this is sufficient to give a drift current density of 10 4 A cm −2 . To emphasize that such a large current density can be borne by a near-equilibrium distribution, we consider the two hemi-Maxwellian velocity-spectral carrier concentra- tions for the conditions listed in Fig. 5.4. The concentrations in the Maxwell-Boltzmann case considered here follow from (4.28): n 0 (:) = 8π h 3 (m ∗ e.DOS m ∗ CON ) 3,2 : 2 exp[m ∗ t h : 2 ,2k B T] exp[(E C − E F ),k B T] . (5.40) The results are plotted as a function of velocity in Fig. 5.5. Note how the symmetrical distribution in equilibrium is distorted by the transfer of carriers to the right-going distribution in the presence of a drift field. 5.5.2 Diffusion current From (5.24), the equations for the diffusion currents are ¯ J e.diff = k B T e j e ∇n ¯ J h.diff = −k B T h j h ∇p . (5.41) k B T c is a measure of the mean kinetic energy of each carrier. Thus, even if the carrier temperature T c is constant, a gradient in carrier concentration causes a flow of kinetic P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 78 5 Charge transport 0 2 4 6 x 10 5 0 0.5 1 1.5 2 2.5 3 x 10 17 ) n ( v ) ( s m ) Figure 5.5 The hemi-Maxwellian portions of the carrier distribution in velocity for the two cases presented in Fig. 5.4: equilibrium case (solid line), non-equilibrium case (dashed line). energy, and, consequently, a flow of charge. For a given concentration gradient, electrons and holes diffuse in the same direction, but the current densities have opposite signs. As in the case of the expressions for drift current, the distribution function is not explicit, and nor are the diffusion currents limited to near-equilibrium conditions. How- ever, it is instructive to appreciate that diffusion currents can issue from equilibrium distributions. To see this, consider Fig. 5.6, in which two Maxwellian distributions with different concentrations of electrons are separated by a distance 2 ¯ l. The current density at some intermediate plane, e.g., at x = 0, is ¯ J e = −q n 1 2 2¯ : R ÷(−q) n 2 2 (−2¯ : R ) = −q _ n 1 2 − n 2 2 _ 2¯ : R . (5.42) The two distributions have to be close enough together so that the electrons from each distribution can cross the plane at x = 0 without suffering collisions, as such events might change the distributions. The mean distance between collisions is called the mean free path, and it is denoted by ¯ l on Fig. 5.6. The concentration difference 1 2 (n 1 −n 2 ) should be evaluated over a distance of this order. This can be done via a Taylor series expansion of each distribution about x = 0, and by keeping terms to first order. For example, n 2 2 = n(0 ÷ ¯ l) 2 ≈ n(0) 2 ÷ 1 2 dn dx ¯ l. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.5 Current 79 n n 2l x 0 g(E)f (E) E l e c t r o n e n e r g y 1 2 Figure 5.6 Schematic of diffusion due to the close juxtaposition of two hemi-Maxwellian distributions of different electron concentration. Taking the corresponding expression for n 1 ,2, and substituting into (5.42) gives ¯ J e.diff = q ¯ l2: R ¯ dn dx ≡ q D e ¯ dn dx . (5.43) Expressing the diffusion current in terms of the diffusivity D is more usual than writing it in terms of either ¯ l or k B Tj. You may be curious, or even be alarmed, that we’ve taken two Maxwellian distributions and produced a net current: this may appear to be inconsistent because Maxwellians are equilibrium distributions, and a net current is not allowed at equilibrium! What this actually means is that, if there were a diffusion current at equilibrium, then it would be exactly negated by a current due to some other mechanism, such as drift. This is precisely what happens in a np-junction at equilibrium (see Section 6.1). 5.5.3 Thermal current From (5.24), the equations for the thermal currents are ¯ J e.therm = k B nj e ∇T e ¯ J h.therm = −k B pj h ∇T h . (5.44) These currents exist when neighbouring carrier ensembles have different average kinetic energies. One example where this might occur is the injection of high-energy electrons into a p-type region in which the existing carriers are at near equilibrium. As the hot electrons cool towards the near-equilibriumdistribution by scattering and recombination, there is a gradient in T e that drives the injected carriers forwards. This thermal current is, in this particular example, in the same direction as the diffusion current, and is often masked by it. One instance where the thermal current is evident is in HBTs operating at high currents (see Section 16.3.1). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 80 5 Charge transport electron states ballistic path dissipative path E C n + n + p Figure 5.7 Illustration of electron transport through a region of electric field in a transistor. Bottom path: dissipative transport, creating near-equilibrium conditions. Top path: ballistic transport, creating non-equilibrium conditions in the uniform-field region. The energy of the ballistic electrons is eventually given to the lattice in the highly doped n-region, where ionized-impurity scattering and electron-electron scattering are large. 5.6 Ballistic transport Drift, diffusion, and thermal currents all result fromtransport processes in which scatter- ing tends to dissipate any momentum that is imparted by an applied force. Collisionless, or ballistic, transport is possible in regions of a device that are shorter than the mean free path ¯ l. The difference between dissipative and ballistic transport is illustrated in Fig. 5.7. We can estimate ¯ l in the near-equilibrium case from (5.43) and (5.17): D e = ¯ l2: R = k B T e q j ¯ l 0 = k B T L q j 0 2: R . (5.45) where the subscript ‘0’ indicates equilibrium or, in this case, near-equilibrium with a low applied field. Similarly, the electron gas is taken to be in equilibrium with the lattice. We’ll now use this equation to estimate ¯ l 0 . For very low doping densities, Fig. 5.3 informs that j 0 is about 1300 cm 2 V −1 s −1 for Si, and about six times higher for GaAs. From Table 4.2, values of 2: R at low doping and T L = 300 K can be inferred to be about 10 7 cm s −1 for Si, and about twice this value for GaAs. Thus, under these conditions, ¯ l 0 is about 30 nm for Si and about 100 nm for GaAs. These values will decrease as the doping density is raised, because of the associated decrease in j 0 and increase in 2: R . Nevertheless, the estimated values of ¯ l 0 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.7 Tunnelling 81 0 0.5 −0.5 −1 −8 − 1 1 1.5 x 10 0 2 4 y (m) ψ ψ * ( m ) U 1 E F U 3 U 2 Figure 5.8 Bottom part: Potential energy profile to illustrate the concept of tunnelling from Region 1 to Region 3 of an electron with energy less than the potential energy U 2 of the barrier separating the two regions. The top part of the figure indicates the probability density for an electron entering the system from the right with an energy E such that U 1 - E - U 2 . Specifically: U 2 −U 1 = 2.7 eV, E −U 1 = 0.45 eV, U 3 −U 1 = −1 eV, the barrier has thickness d = 2.3 nm, and is of a material with a relative permittivity of 3.9, and an effective mass for electrons of 0.3m 0 . The electron effective mass in Regions 1 and 3 is 0.9m 0 . All these parameter values are intended to represent tunnelling in a CMOS90 N-FET with ψ ox = 1 V. do give an indication of the device feature sizes at which we might need to consider the possibility of ballistic transport occurring. We do this specifically in Chapter 18. A special case of ballistic transport is tunnelling, which we now describe. 5.7 Tunnelling 5.7.1 Probability density current Consider the potential profile shown in Fig. 5.8: two regions of constant potential energy, U 1 and U 3 , are separated by a thin barrier. The top of this barrier is triangular, and could represent the potential profile in the oxide of a MOSFET, as illustrated in many of the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 82 5 Charge transport 0 0.5 1 1.5 x 10 8 10 10 10 8 10 6 10 4 10 2 10 0 10 2 y (m) ψ ψ ( m 1 ) * Figure 5.9 Redrawing of the probability density from Fig. 5.8. The logarithimic scale emphasizes the small (≈10 −8 m −1 ) probability density of electrons tunnelling from Region 1. band diagrams in Chapter 10. Here we simplify the barrier to that of a rectangular barrier with peak height U 2 . Classically, an electron in Region 1 with energy E 1 - U 2 would be reflected from the interface with Region 2. Quantum mechanically, provided the barrier is not infinitely high, the electron has a finite probability of penetrating the barrier and appearing in Region 3. This phenomenon of penetrating an insurmountable barrier is known as tunnelling. Recall from Chapter 2 that +(y. t )+ ∗ (y. t ) is a probability density, where + is the time-dependent electron wavefunction. We are interested in the flow of the probability density from one region to another d P dt = + ∗ ∂+ ∂t ÷+ ∂+ ∗ ∂t = 1 i _ + ∗ _ i ∂+ ∂t _ ÷+ _ i ∂+ ∗ ∂t __ . (5.46) The time-dependent Schr¨ odinger Wave Equation is i ∂+ ∂t = − 2 2m ∗ ∇ 2 + ÷U+ . (5.47) where U is potential energy, and the appearance of m ∗ means that we are using the effective-mass form of the Schr¨ odinger equation, as discussed in Section 2.11. Furthermore, we have chosen to ignore the difference between wavefunctions and enve- lope functions (see (2.37)). Taking complex conjugates where necessary, and substituting P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.7 Tunnelling 83 into (5.46), some manipulation yields d P dt = − i 2m ∗ ∇ · _ +∇+ ∗ −+ ∗ ∇+ ¸ ≡ −∇ · ¯ J P . (5.48) where ¯ J P is defined as the probability density current. The defining line of (5.48) follows from the basic idea of continuity, as discussed in Section 5.2.2: any spatial change in the flow of some property must result in a temporal change of that property. 5.7.2 Transmission probability To begin to estimate ¯ J P we need to evaluate +. We’ll assume a one-dimensional system and we’ll limit U to having only a spatial dependence, so that we need only solve for ψ(y), the spatial part of +(y. t ). 4 For each of the three regions in Fig. 5.8 the Schr¨ odinger Wave Equation can be written as − 2 2 d dy _ 1 m ∗ dψ dy _ ÷(U − E)ψ = 0 . (5.49) The boundary conditions for solving this equation follow from the discussion in Sec- tion 2.11.1, which can be summarized as: r ψ is continuous across a boundary, and r 1 m ∗ dψ dy is continuous across a boundary. Within the three regions of Fig. 5.8, and for an electron entering from the right with an energy E such that U 1 - E - U 2 , the solutions to (5.49) are ψ 1 = Ae i k 1 y ÷ Be −i k 1 y ψ 2 = Ce k / 2 y ÷ De −k / 2 y ψ 3 = Fe i k 3 y . (5.50) where A − F are coefficients to be determined, and the wavenumbers are k 1 = 1 _ 2m ∗ 1 (E −U 1 ) k 2 = 1 _ 2m ∗ 2 (E −U 2 ) ≡ i k / 2 k / 2 = 1 _ 2m ∗ 2 (U 2 − E) k 3 = 1 _ 2m ∗ 3 (E −U 3 ) . (5.51) Note that k 1 , k / 2 and k 3 are all real. Therefore, ψ 1 ψ ∗ 1 is oscillatory, ψ 2 ψ ∗ 2 is exponentially damped if C _ D, and ψ 3 ψ ∗ 3 is a constant. These forms are illustrated in the top part of 4 See Section 2.3 for how to separate +(y. t ) into spatial and temporal components. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 84 5 Charge transport Fig. 5.8. Physically, a wave enters from the right, its magnitude is reduced exponentially as it traverses the insulator as an evanescent wave and, if the insulator is not too thick, some small fraction of the probability density wave exits on the left. 5 Applying the two boundary conditions to the two interfaces gives us four equations in the five unknowns A − F, so we can only evaluate relative amplitudes, such as FF ∗ ,AA ∗ . What we really want is the ratio of the output probability density current J P.F to the incident probability density current J P.A . Using the definition of J P from (5.48), we find that J P.A = k 1 m ∗ 1 [ A[ 2 J P.F = k 3 m ∗ 3 [F[ 2 . (5.52) So, the desired ratio, which is called the transmission probability, is T = k 3 k 1 m ∗ 1 m ∗ 3 [F[ 2 [ A[ 2 ≡ : k.3 : k.1 [F[ 2 [ A[ 2 . (5.53) where the second equation, written in terms of the state velocities, follows from our use of the parabolic-band approximation. It also follows from (5.52) that [ A[ 2 and [F[ 2 must be charge densities. Note that T is a function of E. The so-called asymmetric rectangular potential barrier of Fig. 5.8 allows an analytical solution for T. After considerable algebra, the solution is T = 4m 1 k 3 ,m 3 k 1 (1 ÷k 3 m 1 ,k 1 m 3 ) 2 cosh 2 (k / 2 d) ÷(k / 2 m 1 ,k 1 m 2 −k 3 m 2 ,k / 2 m 3 ) 2 sinh 2 (k / 2 d) . (5.54) The essence of this equation can be appreciated by making the assumption that k / 2 d ¸1. This assumption is satisfied when the wavelength of the electron in Region 2 (λ 2 = 2π,k / 2 ) is much less than the barrier thickness, and implies that the tunnelling probability is low. Under this approximation, both the hyperbolic functions in (5.54) reduce to e 2k / 2 d ,4, and T becomes T = 16 4 ÷ _ k / 2 m 1 k 1 m 2 − k 3 m 2 k / 2 m 3 _ 2 exp _ − 2d _ 2m ∗ 2 (U 2 − E) _ . (5.55) This equation brings out the importance in tunnelling of both the barrier thickness d and the barrier height U 2 . The pre-exponential factor is often of order 1, in which case an 5 The component Ce i k / 2 y is that part of ψ 2 due to reflection of the evanescent wave at the boundary between materials #2 and #3. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 5.7 Tunnelling 85 k k k Region 2 (Oxide) Region 1 (Channel) 1, x 1,z 1,y Region 3 (Gate) y E x E C E 1 Figure 5.10 The top part illustrates tunnelling of electrons through an insulator from either the conduction band (top electron,) or from quasi-bound states (bottom electron), in a semiconductor. The bottom part of the figure shows the k-space axes for computation of the current due to tunnelling from Region 1 to Region 3. For application of this to tunnelling in a MOSFET, Region 1 would be the channel and Region 3 would be the gate. even simpler expression results: T ≈ exp _ − 2d _ 2m ∗ 2 (U 2 − E) _ . (5.56) Non-rectangular barrier When the barrier is not rectangular, it can be divided up into a number of thin rectangular slices, each of width dy, and the approximate expression for the transmission probability (5.56) can be expressed in integral form T ≈ exp _ − 2 _ y 2 y 1 _ 2m ∗ 2 (U(y) − E) dy _ . (5.57) The same result can be obtained from the so-called JWKB Approximation, in which Schr¨ odinger’s equation is solved under the assumption that U(y) varies slowly in com- parison to the electron wavelength λ = 2π,k [7]. 5.7.3 Tunnel current In this book the prime example of tunnelling is the so-called ‘leakage current’ through the insulator in a MOSFET. We derive an expression for this current in this subsection, but you may want to read about MOSFETs in Chapter 10 and Chapter 13 before trying to follow the details. Consider Fig. 5.10, which sets up the k-space axes in order to P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 86 5 Charge transport compute the current due to electrons tunnelling from Region 1 to Region 3. Here, we associate Region 1 with the channel of an N-MOSFET, Region 2 with the gate oxide, and Region 3 with the gate metal. We consider two cases: one where the electrons in Region 1 are not confined; and, secondly, where the electrons are confined in a potential well. Tunnelling from a continuum of states Here, we assume that electrons in Region 1 are not confined, and we treat E C as being flat, as we did for U 1 in Fig. 5.8. The fundamental expression for the y-directed current density in Region 1 comes from (5.2). Multiplying this by the transmission probability gives the expression for the y-directed electron current density in Region 3: J e.y = −q 1 4π 3 _ ¯ k T(k y ): y f ( ¯ k) d ¯ k . (5.58) Let us take m ∗ 1.x = m ∗ 1.z ≡ m ∗ ⊥ : this enables the energy to be written as E = U 1 ÷ 2 k 2 y 2m ∗ 1.y ÷ 2 k 2 ⊥ 2m ∗ ⊥ ≡ E y ÷ 2 k 2 ⊥ 2m ∗ ⊥ . (5.59) where k 2 ⊥ = k 2 x ÷k 2 z . Going to polar coordinates, we can write _ ∞ −∞ dk x _ ∞ −∞ dk z ≡ _ 2π 0 dθ _ ∞ 0 k ⊥ dk ⊥ . (5.60) Changing variables from k ⊥ to E using (5.59), substituting (5.60) in (5.58), and rear- ranging, we get J e.y = −q 1 2π _ ∞ 0 T(k y ): y dk y _ ∞ E y 2 (2π) 2 2π m ∗ ⊥ 2 f (E) dE . (5.61) The reason for the rearrangement is to highlight the second integral. By examining its dimensions it can be appreciated that the integral represents an areal density. It is, in fact, the density of electrons n 2D in the 2-D sheet of electrons at the oxide/semiconductor interface having an energy E = E y , i.e., each of the electrons spread across the surface that has energy E y has a probability of tunnelling T(k y ) with a velocity : y . The integral is easily solved by variable substitution. 6 The result is n 2D (E F − E y ) = m ∗ ⊥ k B T π 2 ln _ 1 ÷e (E F −E y ),k B T ¸ . (5.62) Finally, making the variable change from k y to E y in (5.61) using (5.59), the electron tunnel current is J = − q h _ ∞ U 1 T(E y )n 2D (E F − E y ) dE y . (5.63) 6 b = (E F − E),k B T is an appropriate substitution to make. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 87 We will use this expression in Section 13.1.6 for estimating the gate leakage current in modern MOSFETs. Tunnelling from quasi-bound states In this case, which is also illustrated in Fig. 5.10, the volume in Region 1 is now L x L z a, where a is the width of the quantum well from which the electrons are tunnelling. Here we assume a rectangular well. The system can no longer be considered to be periodic in the y-direction, so we employ our usual conversion from a sum-of-states to an integral over all states only for the x- and z-directions. Thus, the equivalent to (5.61) is J e.y = −q 1 a k y >0 T(k y ): y (k y ) _ ∞ E y 2 (2π) 2 2π m ∗ ⊥ 2 f (E) dE . (5.64) where E y in this case is given by E y = U 1 ÷U p . (5.65) where U p is the energy level of each of the p bound states. For an infinite well, for example, U p = p( 2 π,2m ∗ y a). Thus the expression for the current density corresponding to (5.63) is J e.y = −q 2m ∗ ⊥ ah ∞ p=1 T(k y. p ): y (k y. p ) _ ∞ U 1 ÷U p f (E) dE . (5.66) This expression, but perhaps without the simplifying assumption of an infinite well, could be used for the gate leakage current in a heterojunction FET (Section 11.3), or for an improvement upon (5.63) in the case of MOSFETs. Exercises 5.1 Consider a 1-Dcrystal of length 1 cm. The effective mass for holes in this material is 0.5m 0 . What is the current density due to carriers in the valence band at the instant when the only unoccupied state has a wavenumber of 4.32 10 8 m −1 ? 5.2 In our derivation of the Boltzmann Transport Equation, electrons were assumed to move ‘classically’ between scattering events. There is a limit to how small a device can be before this implicit assumption of precise knowledge of the position and momentum of an electron is rendered invalid by its violation of Heisenberg’s Uncertainty Principle. (a) Consider that k B T,5 is a reasonable value for the uncertainty in energy of an electron of energy k B T, and derive an expression for the minimum allowable uncertainty in position. (b) Show that the transport of electrons in GaAs can be considered to be classical if the sample has dimensions that are greater than ≈25 nm. 5.3 In the balance equations deriving from the Boltzmann Transport Equation the mean x-directed kinetic energy of an electron ¸u x ) occurs frequently. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 88 5 Charge transport (a) Derive an expression for ¸u x ) in terms of k B T and Fermi-Dirac integrals. (b) Evaluate ¸u x ) for carrier concentrations of 1 10 16 and 1 10 20 cm −3 in gallium arsenide. 5.4 Equation (5.19) is an expression for the x-directed flux of kinetic energy S e.x in terms of the electron-temperature gradient and an electron thermal conductivity κ e . Write S e.x as a product of kinetic energy density and electron velocity, and then perform a Taylor series expansion to get S e.x in terms of the mean-free-path length ¯ l and dT e ,dx. The procedure is the same as used for the diffusion current in Section 5.5.2. Use the relation between ¯ l and diffusivity to show that κ e = qnj e _ k B q _ 2 T e . (5.67) 5.5 Write down the equilibrium version of the 1-D BTE. This equation must be satisfied when f is the Fermi-Dirac distribution function. Determine the implications of this as regards the spatial dependencies of E F and lattice temperature T L in the case of a semiconductor device in which there is a built-in electric field. Recall that the BTEis an equation in phase space, so when dealing with just real space, k-dependent properties, such as kinetic energy, can be treated as constant. 5.6 Consider the electron current-density equation in (5.24), and take it to apply to the n-type region of a device in which there is negligible electric field. Electrons are injected into one end (x = 0) of the device and are extracted at the other end (x = L). The extraction process accelerates the electrons near x = L. The electron current density J e.x is constant throughout the device, and, for most of the length of the device, it is due entirely to the concentration-gradient term in (5.24). Explain how the ‘thermal-gradient’ current alters the diffusion contribution to J e.x as the end of the device at x = L is approached. 5.7 The E-k relationships for the conduction bands of two semiconductor materials, A and B, each with spherical constant-energy surfaces, can be expressed as E A −0.7 = αk 2 and E B −1.4 = 2α(k −k / ) 2 . respectively, where α is a constant, k / > 0, and the energies are in units of eV. Both materials have the same valence-band structure, with the top of the valence band at E = 0 and k = 0. Which material would have the higher intrinsic conductivity? 5.8 Look at the band structure of GaAs in Fig. 2.9 and consider n-type material. The electrons are occupying states in the conduction-band ‘valley’ centred at the I point. An electric field E is now applied and steadily increased. Eventually, the elec- trons gain enough energy fromthe field to transfer into the conduction-band valley that is closer to the X point. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 89 Sketch the J −E characteristic of the material, and give your reasons for its shape. 5.9 Consider Fig. 4.4 for the full Maxwellian distribution of 10 19 cm −3 electrons in silicon. Now, let the equilibriumbe very slightly disturbed so that the concentration of electrons in the left-going hemi-Maxwellian is reduced by a small amount. The concentration of electrons in the right-going hemi-Maxwellian distribution remains unchanged. Calculate the change in concentration of electrons in the left-going distribution if a current density of magnitude 10 4 A cm −2 is to be supported. Is this change small enough for our near-equilibrium assumption of hemi- Maxwellian distributions to be reasonable? In support of your answer, it would be instructive to construct Fig. 4.4, and then to add to it the new left-going distribution. If there’s no visible difference, then ‘near-equilibrium’ would be a reasonable description. 5.10 Consider a silicon sample doped with 10 17 donors per cm 3 . For a given electric field, what is the ratio of the drift-current densities of electrons and holes? 5.11 The donor doping density in a piece of silicon varies as N D (x) = N 0 exp(−ax). (a) Find an expression for the electric field at equilibrium over the range for which N D ¸n i . (b) Sketch the energy-band diagram for this case, and indicate the direction of the electric field. Explain qualitatively why the electric field is in the direction you have shown. 5.12 Consider a sample of uniformly doped (10 17 cm −3 ), n-type Si to which an electric field of 1000 V cm −1 is applied. (a) Use the BTE to show that the distribution function for this case can be represented by a ‘displaced Maxwellian’. Assume that the collision term can be written as ( f 0 − f ),τ m , where τ m is a constant. This is known as the Relaxation Time Approximation. (b) Estimate the extent of the displacement. 5.13 The transmission probability for an asymmetrical rectangular barrier is given by (5.54). (a) Simplify this expression by: taking the barrier to be symmetrical and of height U 2 ; assuming the effective masses are the same in all three regions (=m ∗ ); assuming that k / 2 d ¸1. Show that the resulting expression is T = 16 4 ÷ _ k / 2 k 1 − k 1 k / 2 _ 2 exp _ − 2d _ 2m ∗ 2 (U 2 − E) _ . (5.68) (b) Often, the pre-exponential factor in (5.68) is set to unity, as was done in arriving at (5.56). To examine the validity of this change, consider the partic- ular example of m ∗ = 0.3m 0 , d 2 = 2.3 nm, and U 2 = 2.7 eV, i.e., data from Fig. 5.8, and plot the energy dependence of the two terms in (5.68) (the pre-exponential factor and the exponent), and their product T. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 90 5 Charge transport 5.14 In Section 2.11.1 one of the boundary conditions for solving the Effective-mass Schr¨ odinger Wave Equation made use of the ‘fact’ that 1 m ∗ dψ dx is continuous across a boundary. Actually, I don’t know of any rigorous proof of this, but it can be justified by examining the problem of a simple, step-like potential barrier. Write the wavefunction on the left of the barrier as ψ = e i k 1 x ÷re −i k 1 x and the wavefunction on the right of the barrier as ψ = t e i k 2 x . Show that the probability density current is conserved at the boundary if the above boundary condition is used, but not if the effective mass is not included in the boundary condition. This conservation of the probability density current is the quantum-mechanical equivalent of Kirchoff ’s Current Law. References [1] S. Datta, Quantum Phenomena, Sec. 5.3.2, Addison-Wesley, 1989. [2] ATLAS Users Manual, Sec. 3.4.3, Silvaco Data Systems, Santa Clara, June 11, 2008. [3] S.M. Sze, Semiconductor Devices, Physics and Technology, 1st Edn., John Wiley & Sons, Inc., 1985. [4] N.D. Arora, J.R. Hauser and D.J. Roulston, Electron and Hole Mobilities in Si as a Function of Concentration and Temperature, IEEE Trans. Electron. Dev., vol. 29, 292–295, 1982. [5] W. Liu, Fundamentals of III-V Devices: HBTs, MESFETs, and HFETs/HEMTs, p. 60, John Wiley & Sons Inc., 1999. [6] M.S. Lundstrom, Fundamentals of Carrier Transport, Chap. 5, Cambridge University Press, 2000. [7] D.J. Griffiths, Introduction to Quantum Mechanics, Chap. 8, Prentice-Hall, 1995. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6 np- and Np-junction basics When neighbouring regions of a homogeneous semiconductor are doped with different types of dopant, a pn- or np-junction is formed. When the junction is between differ- ent semiconductors, the junction is labelled either Pn or Np, where the capital letter denotes the doping type of the semiconductor with the higher bandgap. Semiconduc- tor/semiconductor junctions play a crucial role in solar cells, LEDs, bipolar transistors, and HJFETs, and are prominent also in MOSFETs. As we demonstrate in this chapter, a potential energy barrier forms at this type of junction. In a solar cell, this barrier facil- itates the separation of photogenerated electron-hole pairs into a current. In the other devices, the modulation of the junction barrier height by an applied voltage allows the current to be controlled by external circuitry. In this chapter the focus is mainly on the np-junction; it is used to achieve an under- standing of the properties of semiconductor junctions via the drawing of an energy-band diagram, the construction of which is explained here. We also introduce the concepts of quasi-neutrality and quasi-Fermi levels. The latter prove useful in describing carrier concentrations under non-equilibrium conditions. Finally, the Np-junction is described, and some consequences of the bandgap mismatch are noted. 6.1 np-junction at equilibrium To construct the equilibrium energy-band diagram for an np-junction, consider first Fig. 6.1a, in which the separate n- and p- type regions are shown. 1 This band diagram follows from Fig. 2.14, and shows the band edges E C and E V : these were defined in (2.41), and they are related to the potential energies of electrons and holes, respectively. The diagram also introduces E 0 , the force-free vacuum level, which is used as the energy reference level. It is assigned a value of zero, so all other energy levels on the band diagram are negative. Physically, E 0 is the energy that an electron would have if it were just removed from the semiconductor, under circumstances where there were no potential-energy gradients (electrostatic forces) in the semiconductor. The positive energy required to effect the removal (E 0 − E C in the force-free case) is called the electron affinity of the semiconductor, and takes the symbol χ. 1 Unless otherwise stated, we will only consider abrupt junctions, i.e., junctions in which the doping density changes abruptly from N D on the n-side, to N A on the p-side. 91 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 92 6 np- and Np-junction basics E l = dn E x V F2 Fi C F1 (a) E l e c t r o n e n e r g y x E E E E E E 0 E F x χ (b) 0 dp V E (x) (x) Fi E (x) C E (x) l E 0 y P x N qV bi Figure 6.1 (a) Energy-band diagram for separate n- and p-type material. (b) Energy-band diagram for an np-junction at equilibrium. Starting from (4.14), and referring to Fig. 6.1a, the Fermi energy can be written as E F = E C ÷k B T L ln n 0 −k B T L ln N C = E 0 −χ ÷k B T L ln n 0 −k B T L ln N C = −χ ÷k B T L ln n 0 −k B T L ln N C ≡ j. (6.1) The final equation in the set indicates that the Fermi energy is, in these field-free circum- stances, equal to j, which is the chemical potential energy 2 . As χ and N C are material constants, E F evidently increases as more electrons are added to the semiconductor. The difference between the Fermi energies in the two separate materials of Fig. 6.1a can be written as a difference in chemical potential energy E F1 − E F2 = k B T L ln n 01 n 02 = Lj. (6.2) If the two materials are now joined (Fig. 6.1b), this difference in chemical potential energy acts as a driving force to equilibrate the two, differently doped regions. The minimum-energy state of thermal equilibriumis reached when the driving force vanishes, i.e., when sufficient electrons have been transferred from the n-side to the p-side so that E F1 = E F2 ≡ E F . The transferred electrons initially increase the np-product on the p-side near to the junction, leading to an enhanced recombination rate, and the annihilation of many electrons and a corresponding number of holes. This creates a region of negative space charge (acceptor ions) on the p-side of the junction. A region comprising an equal 2 Invariably, the word ‘energy’ is dropped, leaving ‘chemical potential’. The short term comes from thermo- dynamics, as does the choice of the symbol for it, which is regrettable because we’ve already used j for mobility. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.1 np-junction at equilibrium 93 magnitude of positive space charge (donor ions) appears on the n-side fromthe departure of the transferred electrons. This space-charge region is a source of internal potential energy, characterized by an internal electrostatic potential difference called the built-in voltage, V bi , which is always expressed as a positive quantity. The actual, position- dependent electrostatic potential ψ(x) is defined in Fig. 6.1b. Note the direction of the arrow labelling the potential energy (−qψ(x)): it indicates that a positive potential makes the local vacuum level E l negative with respect to the reference energy E 0 . For the reference potential (ψ = 0), we arbitrarily set it to be zero at the end of the p-region, i.e., at x = x P . In the parts of the material where ψ ,= 0, it follows from Fig. 6.1b that the Fermi energy can be written as E F = −qψ(x) ÷j(x) . (6.3) Thus E F is now more than just the chemical potential energy: it becomes the elec- trochemical potential energy, following the description from thermodynamics of a system possessing both chemical potential energy and electrostatic potential energy. 3 In a battery, for example, the difference in electrochemical potential energy between two electrodes provides the electro-motive force (voltage) to drive electrons (current) around an external circuit. It also manifests itself as a voltage that can be measured by a voltmeter. In an np-junction at equilibrium, the fact that there is no difference in electrochemical potential energy means that there can be no net current in any circuit to which the semiconductor is attached; nor is there a terminal voltage that can be recorded by a voltmeter. Thus, V bi cannot be measured directly. In an np-junction the built-in voltage develops to precisely the value that is required to ensure that the drift current of electrons down the potential gradient to the n-side, exactly cancels the diffusion current of electrons over the barrier from the side of higher electron concentration to the p-side (see Fig. 6.4c). The same cancellation process also occurs for the holes. Thus, as we noted in Section 5.5.2, if a diffusive flow of charge is present at equilibrium, then it must be exactly counterbalanced by a drift flow. 6.1.1 The built-in voltage To illustrate the relationship between V bi and the nullifying of the currents, use (5.37) and (5.41) for the drift and diffusion currents of electrons, respectively. At equilibrium, we have ¯ J e = −qnj e ∇ψ ÷k B T L j e ∇n = 0 . (6.4) Considering the edges of the space-charge region, and, for simplicity, one-dimension: _ ψ(n−si de) ψ( p−si de) dψ = k B T L q _ n 0n n 0p 1 n dn . (6.5) 3 Again, ‘energy’ is usually omitted, leaving ‘electrochemical potential’. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 94 6 np- and Np-junction basics Performing the integration yields the standard expression for the built-in voltage of a homojunction: V bi = k B T L q ln n 0n n 0p ≡ V th ln _ N D N A n 2 i _ . (6.6) where, for convenience, we have defined the thermal voltage as V th ≡ k B T q . (6.7) where T = T L is the lattice temperature. At 300 K, V th ≈ 26 mV, and for a Si homojunc- tion with doping densities of 10 19 and 10 17 cm −3 , for example, V bi = 0.95 V. 6.1.2 Constructing an equilibrium energy-band diagram The algorithm for constructing the equilibrium energy-band diagram is summarized here. 1. Draw a solid horizontal line and label it E 0 . This is the reference energy level. 2. Measure down from this the electron affinity of one distinct region of the structure, e.g., the n-type region. Draw a solid horizontal line at this energy and label it E C . 3. Add another solid horizontal line E V to indicate the bandgap E g . 4. Add a dashed horizontal line for the Fermi level at a position that gives some indication of the doping density, i.e., make it close to E C if the doping density is high. 5. Repeat the above steps for any other distinct regions. For example, if you have an np-junction, your diagram should now look like Fig. 6.1a. 6. Now, to connect the two separate parts of the structure, start with a dashed horizontal line across the width of the entire structure: this is E F . 7. Draw E C and E V for each part of the device, leaving a gap at the junction, where there would otherwise be a discontinuity in these energy levels. 8. Choose one side of the device to be the reference side for the electrostatic potential, and add-in E l = E 0 for this side of the device. In Fig. 6.1b we chose the p-side for the reference. 9. On the non-reference side, add-in a solid line for E l at an energy χ above E C . 10. Across the interfacial region between the two parts of the structure, join-up E l with a smooth curve, and E 0 with a horizontal line. The transition in E l (x) must be continuous because it represents the change in electrostatic potential. 11. For each region in turn, extend the band edges to the metallurgical junction by drawing lines that are parallel to the vacuum level. If the two regions of the device structure are made from the same material, each band edge should join-up smoothly across the interfacial region. This is the case for the homojunction shown in Fig. 6.1b. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.1 np-junction at equilibrium 95 For a junction between dissimilar materials, there may be discontinuities in E C and E V at the interface, but there cannot be discontinuities in the vacuum level. 4 6.1.3 Potential profile The potential ψ is defined from Fig. 6.1b: −qψ(x) = E l (x) − E 0 . (6.8) In a homogeneous system, E C (x) tracks E l (x). 5 so −qψ(x) ≡ E C (x) − E C (x P ) . (6.9) It follows from (4.14) that, when Maxwell-Boltzmann statistics apply, n 0 (x) = n 0 (x P )e ψ(x),V th p 0 (x) = p 0 (x P )e −ψ(x),V th . (6.10) Employing these in Poisson’s Equation from our master set of equations (5.24), and considering one dimension for simplicity: − d 2 ψ dx 2 = ρ c = q c _ p 0 (x P )e −ψ(x),V th −n 0 (x P )e ψ(x),V th ÷ N D − N A ¸ . (6.11) where ρ is the volumetric charge density. This non-linear equation can be solved numerically to find ψ(x), provided n 0 and p 0 at the end of the p-side of the device are known. We’ll discuss the nature of metal/semiconductor contacts in Section 11.1, but a common case is that of an ohmic contact. Such a contact allows both electrons and holes to flow easily into and out of the semiconductor, so the carrier concentrations at the semiconductor/metal interface are maintained at their equilibrium values. In this case, n 0 (x P ) and p 0 (x P ) are eas- ily found (Section 4.4), and the boundary conditions are simply, ψ(−x N ) = V bi and ψ(x P ) = 0. Applying these conditions to a silicon np-junction with N D = 10 18 cm −3 and N A = 10 17 cm −3 , the solution for ψ(x) from(6.11) is shown in Fig. 6.2. The built-in voltage is 0.9 V in this case, and it can be seen that it is dropped mostly across the lesser-doped portion of the space-charge region. 4 A discontinuity in the vacuum level would imply a discontinuity in electrostatic potential, which would mean an infinite electric field. This would create an infinitely strong force on neighbouring charges, which would then be rapidly re-arranged to reduce the field. 5 In a heterogeneous system, as mentioned in the previous subsection, E C (x) does not necessarily track E l (x) throughout the device, so (6.8) must be used for the potential, rather than (6.9). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 96 6 np- and Np-junction basics 0 0.05 0.05 0.1 0.15 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 P o t e n t i a l ( V ) Figure 6.2 Potential profile for a silicon np-junction with N D = 10 18 cm −3 and N A = 10 17 cm −3 , under equilibrium conditions. The actual metallurgical junction between the n- and p-regions is shown by the dashed line. The solution is from the master set of equations (5.24), as implemented by the commercial solver ATLAS. At equilibrium, this set of equations reduces to (6.11) for ψ. 6.2 The Depletion Approximation The space-charge region at the np-junction plays such an important role in the operation of many semiconductor devices that it is helpful to have a way of estimating its width without resorting to numerical methods of computation. This is achieved by making the Depletion Approximation, namely n(x). p(x) _ N D −x dn ≤ x ≤ 0 n(x). p(x) _ N A 0 ≤ x ≤ x dp . (6.12) where the x-coordinates are from Fig. 6.1b. This approximation turns the smooth varia- tions in n(x) and p(x) across the junction into abrupt changes at −x dn and x dp , respec- tively, as shown in Fig. 6.3. The beauty of the approximation is that it turns (6.11) into two linear equations that are easily solved, and which enable the width of the so-called depletion region (W = x dn ÷ x dp ) to be determined. The equations are d 2 ψ dx 2 = −qN D c − x dn ≤ x ≤ 0 d 2 ψ dx 2 = qN A c 0 ≤ x ≤ x dp . (6.13) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.2 The Depletion Approximation 97 0 0.05 0.1 0.15 0 2 2 4 6 8 10 x 10 17 N e t c h a r g e c o n c e n t r a t i o n / q ( c m 3 ) Figure 6.3 Profile of the charge density ρ = ( p ÷ N D −n − N A ),q for a silicon np-junction with N D = 10 18 cm −3 and N A = 10 17 cm −3 , under equilibrium conditions. The full numerical solution from (5.24), as implemented by ATLAS, is shown by the solid line. The profile from the Depletion Approximation is shown by the dashed line. A further aspect of the Depletion Approximation (DA) is that it assumes that there is no voltage drop in the regions outside of the depletion region. This assumption provides the boundary conditions required for the solution of (6.13): − dψ dx = 0 x = −x dn − dψ dx = 0 x = x dp ψ = 0 x = x dp . (6.14) The solution is ψ(−x dn ) −ψ(x dp ) ≡ V J = q 2c _ N D x 2 dn ÷ N A x 2 dp ¸ . (6.15) where V J is the potential difference across the junction region; it equals V bi in the equilibrium case. It should be clear that the magnitudes of the charges in the two parts of the depletion region are equal: 6 qN D x dn A = qN A x dp A . (6.16) 6 Formally, this follows from Gauss’s Law and the continuity of the dispacement ¯ D in the absence of free charges at the actual interface. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 98 6 np- and Np-junction basics where A is the cross-sectional area of the device. Putting all these facts together, the width of the depletion region can be written as W = ¸ 2c q V J _ 1 N D ÷ 1 N A _ . (6.17) For our Si example with N D = 10 18 cm −3 and N A = 10 17 cm −3 , and considering the equilibrium case, we find that V bi = 0.9 V, W = 114 nm, x dn = 10 nm, and x dp = 104 nm. The validity of the DA in the equilibrium case for this junction can be assessed from Fig. 6.3, where the charge densities predicted by the DA and the full numerical calculation are compared. Notice that the majority carrier concentrations do not change in the abrupt manner assumed by the DA. This is to be expected on physical grounds: if it were otherwise there would be infinite diffusion currents. Also note that, for the moderately high donor doping density used in this example, n is still significant at the metallurgical junction (x = 0), so the charge density ρ on the n-side of the junction never attains the value of qN D assumed by the DA. Also, the electron injection into the p-type region is sufficient to push ρ just inside the p-region to a value slightly below the value of −qN A assumed by the DA. This feature would be more pronounced if N D were higher. Despite these discrepancies, the DA is widely employed, primarily to estimate the width of the space-charge region. We will use it for this purpose in all of the devices we study. 6.3 np-junction under bias The equilibrium energy-band diagram of Fig. 6.1b is repeated in Fig. 6.4a for conve- nience. The Fermi level has been extended on either side of the device to represent the metallic contacts, to which leads would be attached and taken to the external circuit. Let us apply a voltage V a between the two contacts. Taking the p-side contact as our zero reference for electrostatic potential, V a is negative and the total potential difference across the device is ψ(−x N ) −ψ(x P ) = V bi ÷ V a . (6.18) In metallic elements with an odd number of valence electrons per primitive unit cell, as discussed in Section 2.7, the highest occupied band is half-filled with electrons. The number of electrons is huge, 7 and means that any electron exchange between a metallic contact and its adjoining semiconductor can occur without significantly disturbing the metal fromits thermal-equilibriumstate. Thus, the application of bias to an np-junction is manifest as a difference in electrochemical potential between the metallic end-contacts, and can be expressed as E F (−x N ) − E F (x P ) = −qV a . (6.19) 7 For Al, the number of electrons ‘available for conduction’ is 1.8 10 23 cm −3 . P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.3 np-junction under bias 99 E l e c t r o n e n e r g y x dn dp 0 E l e c t r o n e n e r g y E 0 0 x (b) dn x dp x (a) P N x E F E C (x) x N E Fi (x) E l (x) (x) E V P x x (c) (d) y(x) (x) y ) N ) E F E F (x P − − − − − − − Figure 6.4 (a) Equilibrium band diagram of Fig. 6.1, showing the Fermi levels in the metallic contacts. Note that, by not connecting the band edges in the semiconductor to the band edges in the metallic contacts, we have tacitly assumed that none of the applied voltage is dropped across the contact/semiconductor interfaces. This is a good assumption for the ohmic contacts considered here. (b) Energy-band diagram under an applied forward bias V a = −[E F (−x N ) −E F (x P )],q. (c) Equal and opposite flows of electrons across the junction at equilibrium. (d) Net flow of electrons from left to right due to lowering of the potential barrier at the junction. By drawing hemi-Maxwellian distributions we are assuming that near-equilibrium conditions are applicable. where the x-coordinates refer to Fig. 6.4b. E F (x P ) is taken as the reference energy for the electron potential energy −qV a due to an applied voltage V a . It follows that Fig. 6.4b is drawn for the case of V a - 0. Such a situation, when the applied voltage across an np- junction is such that the applied potential on the n-side contact is negative with respect to that on the p-side contact, is said to be one of forward bias. The converse situation is one of reverse bias. We now have to consider how this applied voltage is distributed (dropped) across the device. For an initial guess, refer to Section 5.4.2, and make use of the fact that the conductivity is much lower in the space-charge region than in the other regions of the device, due to the relative lack of carriers in the former region. Thus, we would expect that most of V a would be dropped across the more resistive space-charge region. For the forward-bias case illustrated in Fig. 6.4, the potential barrier at the junction would be lowered by ≈[V a [. This lowering would enable more electrons to pass from the n-side to the p-side of the junction, resulting in a net current. Now, let’s consider a case where this current density is rather large, say 10 4 Acm −2 . The flow of electrons constituting this current exists also in the n-type region of the device to the left of the depletion P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 100 6 np- and Np-junction basics region. Let us take a doping density of 10 19 cm −3 for this region and compute the field needed to support the chosen current density. If you do this, (see Exercise 6.1), then you should find that the field is only ≈6 mVµm −1 . Thus, in microelectronics, the actual voltage dropped across this region will be very small compared to the value for V a of about 0.8–1.0 V, which would be typical for a Si np-junction delivering the stated current density. The guess of V a being dropped entirely across the space-charge region is, therefore, a very good one. 6.3.1 Constructing a non-equilibrium energy-band diagram As an example of howto turn a band diagramat equilibriuminto one at non-equilibrium, consider the differences between Fig. 6.4a and Fig. 6.4b. The former is constructed according to the steps in Section 6.1.2. Proceed as follows: 1. Copy the portion of the band diagram on the reference side of the junction (the p-side in our case), but don’t draw-in E F . 2. On the n-side, drawa newhorizontal line for E l at a depth of −qV a belowthe previous position of E l . In our forward-bias example this will raise E l on the n-side above its equilibrium position. 3. Complete the n-side of the diagram by adding-in E C at χ below E l , and E V at E g below E C . 4. You know that E l must join-up smoothly across the junction, but before you actually do this, consider how the width of the space-charge region has changed because of the applied bias. Forward bias reduces the potential drop across the junction, so less charge is needed to support the potential difference, and the space-charge region shrinks. So, extend the horizontal portions of E l a bit further towards the metallurgical junction before you join them up. Repeat this for the band edges. In reverse bias, the procedure is the same, but the larger voltage drop across the junction means that the depletion region is widened relative to its equilibrium value. 6.3.2 Quasi-neutrality The fact that there is only a minuscule field in the regions of an np-diode outside of the space-charge region suggests that there is very little deviation from charge neutrality within them. To examine this suggestion, consider the response of the majority carrier holes to the injection of electrons from the n-side of the junction (see Fig. 6.5). The presence of newnegative charge at some point beyond the edge of the depletion region on the p-side attracts holes, which flowin fromthe right-hand contact. Eventually, the holes, driven by the tiny electric field in this region, reach the site of the excess electrons. The charge of ÷qLp that they add to this site, balances the charge of −qLp at the contact from which they originated. Thus, the region of length L between the contact and the excess electrons is a bit like a parallel-plate capacitor of capacitance C. This region also has resistance R. The associated RC time constant defines the dielectric relaxation P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.3 np-junction under bias 101 x n ∆ dp x>x P x Figure 6.5 Showing the movement of holes towards an excess electron concentration in order to restore charge neutrality in a p-type region. time τ D : RC[ QNR = L σ A c A L = c σ ≡ τ D . (6.20) where A is the cross-sectional area, σ is the conductivity, c is the permittivity, and QNR stands for quasi-neutral region. For a more rigorous derivation of this result see Fonstad [1]. The implication of this is that the majority carriers will respond to any changes in charge in a time of the order of τ D . For example, in p-type silicon with a doping density of N A = 10 18 cm −3 , the dielectric relaxation time is ≈50 fs. This is a very short time, and it means that unless we are interested in what happens during time periods of this scale, then the regions outside of the depletion region can be considered as being effectively neutral. We generally consider them to be so in this book. Thus, in a quasi-neutral region under low-level injection of minority carriers, the majority carrier concentration remains very close to its equilibrium form, and is subjected to a very small field. The distribution of the majority carriers, therefore, fits the prescription of a displaced Maxwellian (see Fig. 5.4). To return to our question: where is the applied voltage dropped? We can now say that in an np-diode of the bulk type we have been considering, we have V aj ≈ V a , where V aj is the portion of the applied voltage that is actually dropped across the junction. This usually holds in bipolar devices, for which V a is coupled resistively to the actual junction. An exception would be when the current were so high that the I R-voltage drop in the quasi-neutral regions could not be neglected. This can happen in high-power bipolar transistors (see Section 16.3.1). In MOSFETs, the coupling of V a to the junction occurs capacitively, and we’ll find in Chapter 10 that V aj ,= V a in the ON-condition. To allow for all possibilities, we define V aj as V aj ÷ V bi ≡ V J = ψ(−x dn ) −ψ(x dp ) . (6.21) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 102 6 np- and Np-junction basics E l e c t r o n e n e r g y 0 x 0 E (b) (a) x dn dp x x P V E (x) F E (x) C E (x) Fi E (x) C E P (x ) ) N F E y (x) (x) l E N Figure 6.6 The np-junction in reverse bias. (a) Note the positive applied bias (−qV a = E F (−x N ) −E F (x P )). (b) Illustrating the blocking action of the junction as regards the electron flux from the left. 6.3.3 Reverse bias In the reverse-bias case, a positive potential is applied to the n-side contact with respect to the p-side contact, which we take to remain grounded. Thus, the barrier height of the junction q(V bi ÷ V aj ) is increased. The associated potential difference is supported by a widening of the space-charge layer, as illustrated in Fig. 6.6. Mathematically, the actual width follows directly from an extension of (6.17): W = ¸ 2c q (V bi ÷ V aj ) _ 1 N D ÷ 1 N A _ . (6.22) This expression also holds for forward bias (V aj - 0). If the barrier becomes so high that few electrons on the n-side have enough energy to diffuse over the barrier to the p-side, then the electron current is carried mainly by the equilibrium concentration of minority carriers on the p-side drifting down the potential barrier (see Fig. 6.6b). There are not many of these electrons, so the reverse- bias current is very small. To a first approximation, it is given by q n 0p 2 2: R , and is bias- independent. For a p-side doping density of 10 17 ionized acceptors per cm 3 , the current density is less than a nanoampere per cm 2 . This should be contrasted with the forward- bias current, which is exponentially dependent on V aj , and can be very large (see Section 6.6). 6.4 Quasi-Fermi levels Our reasoning so far has led to the conclusions that, in the quasi-neutral regions, even in an out-of-equilibrium situation when large currents are present, the potential profile is essentially flat, and the majority carrier concentration is close to its equilibrium value. These ‘facts’ suggest that, in the quasi-neutral regions, the carrier concentrations out P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.4 Quasi-Fermi levels 103 of equilibrium can be described by equations of an equilibrium form. Of course, the Fermi level cannot be used in this description of the semiconductor because equilibrium conditions do not apply. Instead, the Maxwell-Boltzmann equations for carrier concen- trations, (4.23), are written in terms of two reference energy levels, called quasi-Fermi levels: n = n i exp _ E Fn − E Fi k B T L _ p = n i exp _ E Fi − E Fp k B T L _ . (6.23) where E Fn is the quasi-Fermi level for electrons and E Fp is the quasi-Fermi level for holes. The concept of quasi-Fermi levels was first introduced by Shockley [2, p. 308]. It is emphasized that these quasi-Fermi levels are defined by (6.23), and do not have a basis in thermodynamics, as the Fermi level does. E Fn and E Fp are artefacts, the values for which must be consistent with the out-of-equilibriumcarrier concentrations, whereas E F helps define an equilibrium concentration by dictating the probability of states being filled. Thus, if n is known, then E Fn is defined. However, it must be stated that (6.23) is approximate in the sense that it implies a distribution of carriers that can be described by a simple exponential relationship involving a reference energy and the ‘Boltzmann energy’ k B T L . Such a distribution is an equilibrium distribution, so it follows that quasi- Fermi levels should strictly only be used in situations where the carrier distributions do not stray too far from their equilibrium forms. We have argued that this condition is met by the majority carrier concentration in the quasi-neutral region. The ‘leap-of-faith’ that is often made is that (6.23) applies not only to majority carriers in the QNR, but to all carriers everywhere in the device. In the devices considered in this book, scattering usually ensures that this assumption is not seriously violated. Equation (6.23) is widely used in commercial device simulators as its Maxwell-Boltzmann form is very appealing for computational purposes. 8 One insightful result that follows immediately from (6.23) concerns the pn-product: n(x) p(x) = n 2 i exp _ E Fn (x) − E Fp (x) k B T L _ . (6.24) This equation indicates that in regions where the n(x) p(x) product differs from its equilibrium value of n 2 i , then there will be a separation of the quasi-Fermi levels. A large separation can be expected in the depletion region under forward-bias conditions because an excess of both electrons and holes will arise, due to increased injection from the n-side and p-side, respectively, on account of the lowering of the potential barrier at the junction (see Exercise 6.2). Another utility of the quasi-Fermi level concept is that it allows a convenient extension of the n 0 (ψ) and p 0 (ψ) expressions to the non-equilibrium case. From (4.14), (6.10), 8 For degenerate conditions, (6.23) is often modified in commercial device simulators to better represent Fermi-Dirac statistics. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 104 6 np- and Np-junction basics −0.02 0 0.02 0.04 0.06 0.08 −1.2 −1 −0.8 −0.6 −0.4 −0.2 0 0.2 Distance (µm) P o t e n t i a l e n e r g y ( e V ) E Fn E Fp Figure 6.7 Energy-band diagram for the numerical simulation from (5.24) by ATLAS of an np-junction with n-side length of 0.02 µm and doping density 10 19 cm −3 , and p-side doping density 10 17 cm −3 and length >0.08 µm. The applied bias is V a = −0.8 V. The solid lines are the conduction- and valence-band edges. Note the near-constancy of E Fn across the junction depletion region. E Fp is separated from E Fn in the junction region by qV aj ≈ qV a . and (6.23) it follows that n = n 0 (x P ) exp _ ψ V th _ exp _ E Fn − E F k B T L _ p = p 0 (x P ) exp _ − ψ V th _ exp _ E F − E Fp k B T L _ . (6.25) Defining the quasi-Fermi potentials for electrons and holes as −qφ n (x) = E Fn (x) − E F −qφ p (x) = E Fp (x) − E F . (6.26) allows the out-of-equilibrium carrier concentrations to be written in a compact form: n = n 0 (x P ) exp _ ψ −φ n V th _ p = p 0 (x P ) exp _ φ p −ψ V th _ . (6.27) An immediately useful application of these equations is to substitute them into the expression for the total current, as given by the sum of the electron and hole currents from the Drift-Diffusion Equation (5.15). Doing this leads to ¯ J T = ¯ J e ÷ ¯ J h = −qj e n∇φ n −qj h p∇φ p . (6.28) This emphasizes that if there is no gradient in either of the quasi-Fermi levels, there is no current. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.5 Shockley’s Law of the Junction 105 To illustrate the profile of the quasi-Fermi levels in a forward-biased np-junction, consider Fig. 6.7. The left-hand side contact to the n-region was defined as being ‘ohmic’ (see Section 7.4.1). This means that n and p at the contact are maintained at their equilibrium values. Thus, the two quasi-Fermi levels come together at this point. Note how the majority-carrier quasi-Fermi levels stay essentially constant at their equilibrium levels through their respective quasi-neutral regions. Note also the constancy of the band edges in the QNRs. These facts confirm that the majority carrier concentrations remain essentially at their equilibriumvalues throughout the QNRs (at least for low-level injection). Note also that the constancy of the quasi-Fermi levels persists through the space-charge region. 6.5 Shockley’s Law of the Junction The statements about the near-constancy of the quasi-Fermi levels when there is an applied bias may bother you, as we stated via (6.28) that a gradient in quasi-Fermi levels is associated with a net current. But note, in that equation the gradient ∇φ always appears in a product term with the carrier concentration. So, when the carrier concentration is very high, such as for electrons in the quasi-neutral n-region, only a very small gradient in φ n is needed. This is another way of saying the field must be small in this region, as we noted in Section 6.3, wherein we used this fact to say V aj ≈ V a . In the space-charge region, one or both of the carrier concentrations are also quite high, even though they may be small relative to the doping concentrations. Therefore, again, it is not unreasonable that the quasi-Fermi levels be nearly constant across the depletion region. Shockley recognized this [2, p. 312], and exploited the fact to derive an insightful expression for the minority carrier concentration injected across an np-junction: n(x dp ) = n 0 (x P ) exp _ ψ(x dp ) −φ n (x dp ) V th _ = n 0 (x P ) exp _ ψ(x dp ) −φ n (−x dn ) V th _ = n 0 (x P ) exp _ ψ(x dp ) −ψ(−x dn ) ÷ V bi ) V th _ = n 0p exp _ − V aj V th _ . (6.29) where n 0p is the equilibrium electron concentration on the p-side. Shockley called this result ‘the key equation of the rectification theory’. It is a fair description because the observed exponential dependence of current on voltage in an np-junction follows directly from it. Recalling that V aj - 0 for forward bias, this equation informs that injection across the lowered barrier leads to an exponential rise in the minority carrier concentration at the edge of the junction. Arriving at the minority carrier boundary condition in this way is said to be invoking Shockley’s Law of the Junction. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 106 6 np- and Np-junction basics Finally, one other way to accept the near-constancy of E Fn and E Fp across the space- charge region is to realize that the current in a forward-biased pn-junction diode, although potentially large absolutely, is generally small relative to the massive current of which a majority-carrier hemi-Maxwellian distribution is capable (see Section 4.5.2). So, the departure from equilibrium is usually slight, and it is reasonable to view the situation as one of quasi-equilibrium, for which the term ‘quasi-Fermi level’ is appropriate. 6.6 The ideal-diode equation One useful application of Shockley’s Law of the Junction is to set one of the boundary conditions for deriving an expression for the J-V characteristic of an ideal np-junction diode. Such a diode has the following features: r no gradients in carrier temperature. This means that the Drift-Diffusion Equation can be used for the current. r negligible fields in the quasi-neutral regions. This means that minority carrier transport in these regions is due only to diffusion. It also means that the applied voltage V a is dropped entirely across the space-charge region. r quasi-neutral regions that are so long that the injected minority carriers all recombine before reaching the end contacts. r injection is sufficiently low that the quasi-Fermi levels are constant across the space- charge layer. This means that (6.29) can be used as a boundary condition. r no recombination-generation in the space-charge region. This means that the electron current at x = x dp and the hole current at x = −x dn can be added to get the total current. r no generation other than thermal generation. r no doping density gradients on either side of the junction. This means that n(x dp ) ≡ n 0p , the equilibrium electron concentration in the p-side quasi-neutral region. Applying these conditions to the electrons injected into the p-region, for example, we have, from the time-dependent form of the master set of equations (5.24) J e = q D e ∂n ∂x and ∂n ∂t = 1 q ∂ J e ∂x − n −n 0p τ e . (6.30) Thus, at steady-state, we have 0 = d 2 n dx 2 − n −n 0p L 2 e . (6.31) where L e = √ D e τ e is the electron minority-carrier diffusion length. It is a measure of how far the injected electron travels in the p-region before it recombines. The general solution to (6.31) is n(x) −n 0p = Ae x,L e ÷ Be −x,L e . (6.32) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.6 The ideal-diode equation 107 The relevant boundary conditions are: n(x P ) ≡ n(∞) = n 0p n(x dp ) = n 0p e −V a ,V th . (6.33) The resulting solution for the electron concentration is n(x) −n 0p = n 0p _ e −V a ,V th −1 _ e (x dp −x),L e . (6.34) Thus, the electron current at the edge of the space-charge layer on the p-side is J e (x dp ) = −qn 0p _ e −V a ,V th −1 _ . D e L e . (6.35) where the terms have been grouped to emphasize the general fact that the current density is a charge density multiplied by a velocity. The latter is D e ,L e in this case, and is sometimes called the diffusion velocity. The corresponding expression for the hole current density is J h (−x dn ) = −qp 0n _ e −V a ,V th −1 _ . D h L h . (6.36) Thus the J-V relation for an ideal diode is J = −q _ n 0p D e L e ÷ p 0n D h L h _ _ e −V a ,V th −1 _ ≡ J 00 _ e −V a ,V th −1 _ . (6.37) where J 00 is the saturation current density for an ideal diode, and recall that V a - 0 in forward bias. Despite the many assumptions that are invoked to obtain this expression, it is very informative because it captures the essence of a diode: an asymmetrical characteristic, with a reverse-bias current that is small and a forward-bias current that increases exponentially with voltage. To get a ‘feel’ for the magnitudes of the current densities, consider an np-diode with N D = 10 19 cm −3 and N A = 10 17 cm −3 . To evaluate the diffusivities, use (5.30) for mobility and the near-equilibrium form of (5.17), the Einstein relation. To get the minority-carrier diffusion lengths, use L = √ Dτ after evaluating the minority carrier lifetimes from (3.21). To get the minority carrier concentrations use (4.20), after sub- stituting for n i from Table 4.1. Put the numbers into (6.37), and you should find that the reverse-bias current density (≈J 00 ) is 1.7 pA cm −2 , and is dominated by the elec- tron component. For this diode, (6.6) informs that V bi = 0.96 V. For a forward bias of −0.8V bi , J is 11.4 Acm −2 . That’s quite some asymmetry! The ideal-diode derivation is also useful in that it highlights the position-dependence of the electron and hole contributions to the total current density J T . Fig. 6.8 illustrates the steady-state situation for a single-loop circuit in which the diode is so long that all carriers injected from the contacts recombine within the bulk semiconductor. J T is P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 108 6 np- and Np-junction basics I SCR p-QNR n-QNR V a Figure 6.8 Illustration of the position-dependence of the electron and hole contributions to the total current in a forward biased np-diode. Recombination events in the two quasi-neutral regions, and in the space-charge region, are indicated by the crosses. The excess carriers consumed in the recombination events are replenished by carriers injected from the contacts. constant, but is carried entirely by electrons near to the negative contact, and entirely by holes near the positive contact. 6.6.1 Deviations from ideality in diodes Some features of practical diodes, and of the circumstances in which they operate, are not consistent with ideal-diode behaviour, as we now briefly indicate. r Quasi-neutral regions are often short, so not all injected minority carriers recombine before reaching the contact. Appropriate boundary conditions must be used, and the saturation current density will be different from the ideal case. An example of this is in the solar cell (see Section 7.4.2). r For very high currents, the quasi-Fermi levels in the space-charge region may deviate significantly from constant values. This will invalidate the use of Shockley’s Law of the Junction to get the minority-carrier concentrations at −x dn and x dp . r High currents may also mean high-level injection of minority carriers, and a possible loss of charge neutrality in parts of the erstwhile quasi-neutral regions. This leads to local fields outside of the depletion region, in which case not all of the applied voltage V a will appear across the junction. This can be represented in the diode equation by replacing V a with V a ,γ , where γ > 1 is known as the diode ideality factor. Thus at high bias, the diode current still increases exponentially, but the dependence on bias is weaker. We encounter this situation in high-power bipolar transistors (see Section 16.3.1). r At low bias, it may be appropriate to include the current due to the recombination of electrons and holes in the space-charge region. This recombination mechanism usually leads to values of saturation current density greater than J 00 , and to a value of γ ≈ 2 [3]. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.7 Np-junction electrostatics 109 (b) x (a) x 0 0 E l e c t r o n e n e r g y E C ∆ V E N qV p χ bi N χ 1 qV p qV p χ l E l E 0 E bi ∆ 2 qV E V ∆ C E ∆ χ N E C E V E F Figure 6.9 Equilibrium energy-band diagrams for two heterojunctions. (a) Type I Np-junction. (b) Type II pN-junction. r Any electron-hole pairs generated in the space-charge region are likely to be separated by the junction field, leading to a current in the reverse-bias direction. At large reverse bias, the space-charge region may be so wide that this generation current is much larger than the ideal diode saturation current. r At even higher reverse bias, carriers traversing the space-charge region may gain sufficient kinetic energy to impact ionize lattice atoms, leading to current multiplica- tion and avalanche breakdown. We’ll meet this phenomenon again in the chapter on high-power transistors. 6.7 Np-junction electrostatics The two intrinsic properties of a semiconductor that are important for determining the properties of a semiconductor/semiconductor heterojunction are the electron affinity and the bandgap. The important extrinsic property is the doping density. When all of these properties are known, the energy-band diagram can be constructed following the procedures in Section 6.1.2 and Section 6.3.1. The results at equilibrium for two cases are shown in Fig. 6.9. Considering isolated semiconductors, a Type I heterojunction is one in which the wider bandgap ‘straddles’ the smaller bandgap, and if the two bandgaps are ‘staggered’, the heterojunction is categorized as Type II. In the examples shown, E gN > E gp and the difference between the two cases arises because in Fig. 6.9a χ N - χ p , whereas in Fig. 6.9b χ N > χ p . In both instances the built-in voltage is qV bi = qV N ÷qV p = qV 2 −qV 1 ÷(χ p −χ N ) . (6.38) where the various energies are noted on Fig. 6.9. Making use of (4.14), which implies Maxwell-Boltzmann statistics, and of (6.6) for the built-in voltage of a homojunction, it P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 110 6 np- and Np-junction basics follows that qV bi = k B T ln _ N Cp N CN n 0N n 0p _ ÷(χ p −χ N ) ≡ qV np.2 bi ÷k B T ln _ N Cp N CN _ ÷(χ p −χ N ) . (6.39) where T ≡ T L , and V np.2 bi is the built-in voltage of a homojunction made from the lower bandgap material with the same doping densities as used for the heterojunctions under consideration. If the two semiconductors of the heterojunction have similar density-of-states electron-effective-masses, then the second term in (6.39) can be ignored, and we see that V bi for the heterojunction will be greater than that for the corresponding homojunction in the Type I case, and lower in the Type II case. 6.7.1 Energy band offsets Note from Fig. 6.9 that the local vacuum level E l (x) varies smoothly with position, as befits a parameter that indicates the electrostatic potential. 9 However, because of the difference in electron affinities, the band edges E C (x) and E V (x) show discontinuities at the interface between the two semiconductors of the heterojunction. Defining these discontinuities as the band offsets, and labelling them as LE C and LE V , respectively, it can be appreciated from Fig. 6.9, that LE C ÷LE V = E gN − E gp ≡ LE g . (6.40) where all the L’s are taken to be positive quantities. Thus, LE C = [χ 2 −χ 1 [ . (6.41) 6.7.2 Junction space-charge region The potential V J across the space-charge region at an Np-heterojunction is given by (6.15), but modified to allow for different permittivities of the two semiconductors. Equation (6.16), which equates the ionic charge on each side of the junction, also holds, provided there is no free charge density at the interface between the two semiconduc- tors. 10 It then follows that the two components of the space-charge region are x dN = ¸ 2V J c N c p N A qN D (c N N D ÷c p N A ) and x dp = ¸ 2V J c N c p N D qN A (c N N D ÷c p N A ) . (6.42) 9 Recall that E l (x) − E 0 = −qψ(x), where ψ(x) is the potential. 10 This is something that has to be considered in heterojunctions due to the possibility of charge being trapped at localized energy levels within the bandgap, which might arise from any interruption in periodicity of the crystal lattice. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.7 Np-junction electrostatics 111 E l e c t r o n e n e r g y x qV E FnN E Fp E ∆ E C ∆ E n p qV N Fnp e,1 J e,2 J e,B J e,F J ∆ V E BE qV 0 Figure 6.10 Energy-band diagram of a forward-biased Type I Np-junction, illustrating (within the shaded circle) the splitting of the electron quasi-Fermi level at the abrupt Np junction. V BE ≡ V a . 6.7.3 Quasi-Fermi-level splitting In the case of heterojunctions with a conduction-band ‘spike’ at the interface, as in the Type-I Np junction shown in Fig. 6.9, the interesting phenomenon of quasi-Fermi-level splitting arises, as we now briefly explain. In any junction, the application of a forward bias reduces both [qV N [ and [qV p [. In the junction under consideration, this has the effect of reducing the barrier to electron flow into the base, while increasing the barrier to electron flow from the base. This leads to a situation where the net electron flow may not be small compared to each of the counter- directed flows. In other words, unlike in a homojunction, the flow of electrons cannot be considered as a minor perturbation of the equilibrium condition. This significant departure from equilibrium is recognized by allowing the electron quasi-Fermi level (in this example) to be discontinuous at the interface, as illustrated in Fig. 6.10. The forward and backward electron current densities injected over the potential barrier are written as hemi-Maxwellian fluxes J eF = −q n(0 − ) 2 2: R and J eB = q n(0 ÷ ) 2 2: R . (6.43) respectively, where the carrier concentrations are taken at either side of the top of the barrier at x = 0. Employing Maxwell-Boltzmann statistics, these concentrations are: n(0 − ) = n(−x dN )e −V N ,V th and n(0 ÷ ) = n(x dp ) e (qV p −LE C ),k B T . (6.44) where the edges of the depletion region are −x dN and x dp . At equilibrium, n(0) = n(0 ÷ ), and (V N ÷ V p ) = V bi . Further, assuming that low- level-injection conditions apply to the electron flux from region 2 to region 1, we can P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 112 6 np- and Np-junction basics assert that n(−x dN ) ≈ n 0 (−x dn ). Putting these facts together we have for the current J e.1 ≡ J eF − J eB = −qγ _ n 0 (x dp )e −V a ,V th −n(x dp ) ¸ (6.45) where γ = : R e −LE n ,k B T . Turning now to J e.2 in Fig. 6.10, this diifusive current can be written as J e.2 = q D e W [n(x 2 ) −n(x dp )] ≡ −q D e W [n(x dp ) −n 0 (x 2 )]. (6.46) where x = x 2 is the position of the end-contact to region 2, and W = (x 2 − x dp ) is the width of the quasi-neutral part of this region. The first version of the equation follows fromassuming that there is no recombination in region 2, and the second version implies that the contact at the end of region 2 is ohmic. 11 Assuming no recombination in the space-charge region, J e.1 and J e.2 can be equated, thereby yielding a boundary condition for n(x dp ): n(x dp ) = n 0p _ e −V a ,V th ÷ D e Wγ 1 ÷ D e Wγ _ . (6.47) where n 0p = n 0 (x dp ) = n 0 (x 2 ), i.e., region 2 has been assumed to be uniform. This equation shows how (6.29), Shockley’s Law of the Junction for a homojunction, has to be modified to describe a heterojunction. The new equation, via γ , considers both the finite velocity : R of carriers crossing the junction, and the presence of an energy difference LE n at the interface. In a homojunction, the latter is zero, and it is customary to imply that the former is infinite. Under these conditions, (6.47) reduces to (6.29). Thus, we now see that the ideal-diode treatment of the homojunction actually neglected any bottleneck to electron transport caused by the junction itself. Accounting for restricting features, such as a finite velocity and a band spike, reduces electron injection into the p-region. Another way of characterizing the impeding effect of the junction itself is via quasi- Fermi-level splitting. To see this, note that n(x dp ) = N Cp e (E Fnp −E C (x dp )),k B T (6.48) n 0 (x dp ) = N Cp e (E Fp −E C (x dp )),k B T . where E Fp = E F and we have assumed that there is no voltage drop in the quasi-neutral p-region. Noting from Fig. 6.10 that (E FnN − E Fp ) = −qV a , it follows from (6.47) and (6.48) that the splitting of the electron quasi-Fermi level at the interface is LE Fn ≡ E FnN − E Fnp = −qV a −k B T ln _ n(x dp ) n 0p _ . (6.49) 11 See Section 7.4.1 for the definition of an ohmic contact. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 6.8 Emitter injection efficiency 113 Using this in (6.46): J e.2 = −q D e W n 0p _ e −(qV a ÷LE Fn ),k B T −1 ¸ . (6.50) Compare this current with the electron current component of the ideal homojunction diode in (6.37); recall that V a - 0 in forward bias; and then it will be clear that quasi-Fermi-level splitting reduces the driving force for the diffusion current. Quasi- Fermi-level splitting can be reduced in a Type-I heterojunction by using materials with the same electron affinity, in which case LE c = 0. One such combination is N-In 0.49 Ga 0.51 P and p ÷ -GaAs; we encounter this in Chapter 9 as the emitter/base part of an HBT. Contrar- ily, LE Fn can be very high in metal/semiconductor heterojunctions, leading to rectifying contacts called Schottky barriers, which we’ll discuss in Section 11.1. 6.8 Emitter injection efficiency In anticipation of using an Np-junction at the emitter/base interface of a heterojunction bipolar transistor (Chapter 9), we call the N-region the emitter and the p-region the base. Our purpose here is to estimate the emitter injection efficiency of a Type-I heterojunction diode: this is the ratio of the injected electron emitter current to the total current. The electron current is given by (6.50) and the hole current is taken from (6.37). The appropriate form of the latter is J h (−x dn ) = −q D h L h p 0N _ e −qV a ,k B T −1 ¸ . (6.51) The bandgaps of the two materials enter via the equilibrium carrier concentrations, (4.19) and (4.20), and we will assume that the effective densities of states are the same in both materials. 12 In forward bias the ‘−1’ terms in the expressions for the current components can be dropped, leading to the following expression for the emitter injection efficiency: η emitter = _ 1 ÷ N B D h W B N E D e L h e −(LE g −LE Fn ),k B T _ −1 . (6.52) where the subscripts E and B refer to the emitter and the base, respectively, and the bandgap difference LE g = (E gN − E gp ). The dominant term in (6.52) is the exponential term; it can be appreciated that, even allowing for quasi-Fermi-level splitting, the presence of a large bandgap difference can enable attainment of extremely high emitter injection currents. 13 This holds true in modern HBTs, even though they generally have N B ¸ N E for reasons discussed in Section 14.6. 12 This is a reasonable assumption for closely related materials such as AlGaAs,GaAs and InGaP,GaAs. 13 Please do Exercise 6.10 to appreciate how high η emitter can be in modern HBTs. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 114 6 np- and Np-junction basics Exercises 6.1 The current density in a forward biased n ÷ p diode is 10 4 Acm −2 . In the n-type quasi-neutral region this current can be considered to be carried entirely by electrons. The length of this region is 1 µm and the donor doping density is 10 19 cm −3 . Estimate the potential difference across this region. If the voltage drop across the p-type quasi-neutral region is similar, are you now convinced that the approximation of all the applied voltage being dropped across the space-charge region is a good one? 6.2 Making use of your conclusion from the previous question, and invoking Shock- ley’s Law of the Junction, use (6.24) to show that the quasi-Fermi levels for electrons and holes are separated in the space-charge region by −qV a , where V a is the potential applied to the n-side contact, and the p-side contact is grounded. 6.3 Derive (6.28). This important equation indicates that a gradient in quasi-Fermi level must accompany a current. 6.4 If the quasi-Fermi levels are constant across the space-charge region, as Question 6.2 suggests, then how can this be consistent with (6.28)? Substantiate your answer by computing dE Fn ,dx at x = x dp for an ideal diode in forward bias, and then projecting this gradient across the depletion region to obtain an estimate of (E Fn (−x dn ) − E Fn (x dp )). Confirm that this change is small compared to qV J . 6.5 A Si np diode has a very long quasi-neutral region (QNR) on the n-side, but a very short QNR on the p-side. Is the current in this diode larger or smaller than that for the ideal diode discussed in Section 6.6? 6.6 A Si n ÷ p diode has very long QNRs on both sides of the junction. Design A of this diode uses p-type material that is of much higher crystalline perfection than is used in Design B. Which design would give the larger current at a given forward bias? 6.7 Consider the possibility of using an n ÷ p homojunction diode with a long p-region as the temperature sensor in an electronic thermometer. The current I is to be measured at a constant forward bias of 500 mV. (a) Derive an expression for the fractional change in current LI ,I resulting from a temperature change of LT. (b) Evaluate this expression at T = 300 K. Assume that the diode current is dominated by recombination of electrons in the quasi-neutral p-region, and for simplicity, consider the temperature dependence to be due solely to those factors that have an exponential dependence on temperature. 6.8 Consider two diodes: A is an np GaAs homojunction diode, and B is an Np Al 0.3 Ga 0.7 As/GaAs diode. N E = 5 10 17 cm −3 and N B = 10 19 cm −3 for both diodes. Which diode has the wider depletion region at −1.25 V forward bias? P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 References 115 6.9 Consider an AlGaAs/GaAs Np ÷ heterojunction with a p-type doping density of 10 19 cm −3 . The Al mole fraction in the n-type material is 0.3, and W B = 50 nm. Estimate the amount of electron quasi-Fermi-level splitting at a high value of forward bias. 6.10 Evaluate the emitter injection efficiency at a high value of forward bias for the heterojunction diodes of the two previous questions. References [1] C.G. Fonstad, Microelectronic Devices and Circuits, Appendix D, M c Graw-Hill Inc., 1994. Online [http://hdl.handle.net/1721.1/34219]. [2] W. Shockley, Electrons and Holes in Semiconductors, D.Van Nostrand Co., Inc., 1950. [3] D.L. Pulfrey and N.G. Tarr, Introduction to Microelectronic Devices, pp. 138–140, Prentice- Hall, 1989. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7 Solar cells A solar cell is a large-area np-diode, designed to convert sunlight to electricity via the photovoltaic effect. Absorbed photons generate electron-hole pairs, which are separated within the diode, leading to a photocurrent. This current is directed to an external circuit where it develops a voltage across a load. Thus, there is conversion of optical power to electrical power. The elements of this conversion process are sketched in Fig. 7.1. Note that the top metal contact covers only a small fraction of the front surface so as to allow exposure of the semiconductor surface to the incident light. In this chapter we look at the Sun as an electrical resource, and then consider the four features of the conversion process: absorption, generation, internal transport of photo-generated charges, development of photovoltaic power in an external circuit. The solar-cell example used in our treatment is a single-junction diode fashioned from a homogeneous, single-crystal semiconductor. Some other possibilities, which may be more practical, are then considered: multi-crystalline Si homojunction cells; thin-film compound-semiconductor heterojunction cells; multi-junction, multi-semiconductor tandem cells. We conclude with a brief discussion of the prospects for photovoltaics being widely used for terrestrial electric-power generation. 7.1 The Sun as an electrical resource The Sun’s radiant energy comes from the fusion reaction: 2 H 1 ÷ 2 H 1 → 3 He 2 ÷ 1 n 0 ÷E. (7.1) The Earth ‘circles’ the Sun at a mean centre-centre distance of 149 10 6 km. We are fortunate that this distance is so large because it allows the power density to decrease from ≈6 10 4 kWm −2 at the Sun’s surface to ≈1.35 kWm −2 at the top of the Earth’s atmosphere. After that, further reductions occur due to scattering of light by air molecules, aerosols and particulate matter, and by absorption by ozone, water, oxygen, and the ‘greenhouse gas’ carbon dioxide. The intensity of sunlight at a specific point on Earth depends on the times of day and year, and on the orientation of the irradiated surface. For standardization purposes, the solar spectrum at the Earth’s surface is taken to be that when the Sun is at an angle of O = 48.19 ◦ from its zenith, and is incident on a south-facing surface (in the Northern Hemisphere) that is mounted at 37 ◦ to the 116 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.1 The Sun as an electrical resource 117 + _ n–emitter p–base L V L I Figure 7.1 Schematic of the photovoltaic effect, illustrating how sunlight is converted to electricity via absorption, electron-hole pair generation and separation. The thin layer between the top contacts is an anti-reflection coating. 0 500 1000 1500 2000 2500 3000 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 Wavelength (nm) S p e c t r a l i r r a d i a n c e ( W m − 2 n m − 1 ) Figure 7.2 Solar spectral irradiance, global, AM1.5 on 37 ◦ tilted surface, Northern Hemisphere. Drawn from data in the ASTM G173-03e1 document [1], which can be purchased from ASTM. horizontal. 1 The secant of the former angle is a measure of the path-length of the Sun’s rays through the atmosphere. The actual number is known as the Air Mass Number, leading to the label AM1.5 for the angle cited. The solar spectral irradiance for these standard conditions is shown in Fig. 7.2. This spectrum is known as AM1.5G, where ‘G’ stands for global, i.e., the diffuse radiation fromscattering by clouds and fromreflections by the ground is added to the direct radiation from the Sun. Under these conditions, 1 These angles have been chosen as reasonable yearly averages for the 48 contiguous states of the USA. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 118 7 Solar cells about 30% of the Sun’s energy is lost in passing through the atmosphere, leading to a surface power density of 0.97 kWm −2 . This number is rounded-up to 1 kWm −2 for the purposes of testing solar cells and listing their performance in product literature. The goal of solar cell design is to convert as much of this power as possible to electricity. 7.2 Absorption Some of the light from the Sun that is incident on a solar cell is reflected, and some is transmitted into the device. In this section we consider the latter, and we write the spatial part of the electric-field component of sunlight’s transverse electromagnetic wave in the form used in Chapter 2 for electron waves: E y (x) = E 0 e i kx . (7.2) where the wavenumber k = 2π,λ semi in a semiconductor is given by k = ω c,n ∗ = ω(n r ÷i k r ) c ≡ 2π(n r ÷i k r ) λ . (7.3) where c and λ are the velocity and wavelength of light in free space, respectively, and n r and k r are the real and imaginary parts, respectively, of n ∗ , the refractive index of the semiconductor. Substituting for k in (7.2) gives E y (x) = E 0 e i 2πn r x,λ e −2πk r x,λ . (7.4) From this equation it is clear that the attenuation of the electromagnetic wave is related to the presence of an imaginary part in the refractive index of the semiconductor. We are interested in the optical power density (Wm −2 ), and in electromagnetics this is given by the Poynting vector ¯ S = ¯ E ¯ H . (7.5) where H is the magnetic field intensity, and the symbol S is consistent with that used for the energy-density flux in formulating the Hydrodynamic Equations in Section 5.2.2. 2 For a uniform plane wave, travelling in the x-direction, the magnitude of the power density is S x = E y H z = _ c j ¸ ¸ E y ¸ ¸ 2 = _ c j E y (x)E ∗ y (x) = _ c j E 2 0 e −αx . (7.6) where c and j are the permittivity and permeability of the semiconductor, respectively, and the absorption coefficient α is given by α = 4πk r λ . (7.7) The absorption coefficients of some semiconductors used in the manufacture of solar cells are given in Fig. 7.3. Note how α for the indirect bandgap material Si increases 2 Recall that the units of energy-density flux (J m −2 s −1 ) are those of power density (Wm −2 ). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.3 Generation 119 CulnSe 2 InP CdTe c-Si a-Si GaAs 0.5 10 6 10 5 10 4 10 3 a b s o r p t i o n c o e f f i c i e n t ( c m − 1 ) 10 2 10 1 10 0 1 1.5 2 energy (eV) 2.5 3 3.5 Figure 7.3 The energy dependence of the absorption coefficient of some semiconductors commonly used for solar cells. ‘c-Si’ is crystalline silicon, and ‘a-Si’ is amorphous silicon. From Markvart and Castener [2], C _Elsevier 2005, Oxford, U.K., reproduced with permission. less rapidly above its bandgap energy than does α for the other semiconductors shown, which are direct bandgap materials. 7.3 Generation The power density S at some free-space wavelength λ can be translated into the flux of photons at that wavelength by dividing by the photon energy hc,λ. Let us call this photon flux +(λ); it has units of photons/m 2 /s. From (5.8), the balance equation for monochromatic photons within the semiconductor can be written as ∂ P(λ) ∂t ÷∇ · +(λ) = R rad (λ) −A(λ) . (7.8) where P is the volumetric photon density, R rad is the generation rate of photons within the semiconductor due to the radiative recombination of previously generated electron- hole pairs, and A is the rate of loss of photons due to absorption of photons within the volume. For a solar cell, we would like all of the absorbed photons to create separable electron-hole pairs, i.e., A(λ) = G op (λ), the generation rate discussed in Section 3.1.2. In practice, unwanted absorption mechanisms that could arise are: G f c , excitation of already-free carriers (see Fig. 7.4a); and G ex , generation of electron-hole pairs that remain bound together by Coulombic attraction (see Fig. 7.4b). The bound electron-hole pair is called an exciton. It is unwanted in a solar cell because it moves through the device as a neutral entity, so it does not contribute to the current. Thus A = G op ÷ G f c ÷ G ex . (7.9) from which an internal quantum efficiency can be defined: G op = η int A. (7.10) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 120 7 Solar cells (a) (b) E E C E Figure 7.4 Unwanted results of photon absorption. (a) Free-carrier absorption. (b) Exciton formation. Coulombic attraction to the hole prevents the excited electron from gaining the conduction band. E E can be viewed as the first excited state of a one-electron system. (E C − E E ) is the exciton binding energy; if it is much less than k B T L , then the exciton will be short-lived. In steady-state, for a beam of sunlight travelling in 1-D, an expression for G op can be obtained from (7.10), (7.8), and (7.6): G op (λ) = η int (λ)α(λ)+ 0 (λ)e −αx . (7.11) where + 0 = √ c,jE 2 0 ,hc,λ is the photon flux in m −2 s −1 as it enters the solar cell. Summing the monochromatic generation rates in silicon for the AM1.5G spectrum, Fig. 7.5 is obtained. Note the high generation rate near the surface of the cell. The roll-off is exponential for all wavelengths, but is particularly pronounced at shorter wavelengths where α is high. This has a big impact on the generation profile because the peak photon density occurs at the relatively short wavelength of about 500 nm (see Fig. 7.2). 7.4 Photocurrent The current resulting from the photon absorption is called a photocurrent; to create it, the photo-generated electron-hole pairs must be separated, and made to flow in opposite directions. This is achieved by having a built-in field within the structure, and is most obviously implemented by making a pn diode. The major questions that need to be answered for the design of this diode are: how deep into the device should the metallurgical junction be placed; should the top part of the diode be p- or n-type; what should the doping densities be for the two regions? The answers to these questions can be obtained by scrutinizing Fig. 7.5. Because the generation rate decays exponentially on moving into the cell, then the junction should be P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.4 Photocurrent 121 0 50 100 150 200 250 300 10 17 10 18 10 19 10 20 10 21 10 22 Silicon depth (µm) G e n e r a t i o n r a t e ( c m − 3 s − 1 ) Figure 7.5 Generation-rate profile in Si for AM1.5G sunlight. Evaluated from (7.11) using spectral irradiance data from Fig. 7.2, and absorption coefficient data from Ref. [3]. placed very close to the front surface. Thus, the top part of the semiconductor, which is called the emitter, is thin. Recall that the current must take a lateral path to the metallic contacts on the front surface (see Fig. 7.1), so the emitter must be heavily doped to avoid unwanted, parasitic series resistance. The other, lower part of the diode is called the base; it needs to be relatively thick to collect the long-wavelength photons, and to provide mechanical strength for the solar cell. Minority carriers generated in this region may have to travel relatively long distances to the junction, so we should choose the doping type of the base to give us the more mobile minority carrier. For major solar cell materials such as Si and GaAs, this means that the base should be p-type (see Fig. 5.3). Hence, a solar cell is a shallow-junction, n ÷ p diode, where the superscript ‘÷’ means ‘heavily doped’. The energy band diagramfor the solar cell is shown in Fig. 7.6. Because the generation rate decreases with distance into the cell, both electrons and holes in both of the quasi- neutral regions diffuse to the right. In the emitter, separation of the carriers occurs at the junction, where the electrons are reflected by the built-in potential barrier. To achieve the same effect for carriers generated in the base, a back-surface field is created by heavily doping the end of the p-type region. In fact, there is also a field at the front surface of the cell, often due to the donor density gradient, which occurs naturally during the diffusion doping process. In the remaining region of the cell, the space-charge region, carriers generated therein are automically separated by the built-in junction field. 7.4.1 Surface recombination velocity To characterize the effect of the surface fields on minority carriers, the concept of a surface recombination velocity is used [4]. To paraphrase Shockley, the creator of this P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 122 7 Solar cells x = 0 B x j x j + W E C E V Figure 7.6 Energy-band diagram for a solar cell showing the separation of electron-hole pairs that are generated in each of the three major regions of the device: the emitter, the space-charge region, and the base. Minority-carrier-reflecting fields at the front and back surfaces, due to n ÷ n− and pp ÷ −junctions, respectively, are also shown. concept: the rate of recombination of electrons at the surface is the same as if a flux of electrons of density (n −n 0 ) were drifting with an average velocity S into the surface and being removed. Thus, in the quasi-neutral base at x = B (see Fig. 7.6), the electron current is diffusive, and we have −D e d dx (n −n 0 ) = −D e dn dx ≡ S(n −n 0 ) . (7.12) where S is the surface recombination velocity: it is always positive and has units of m/s. Two extreme values of S neatly characterize ohmic and blocking contacts at some boundary x = B: Ohmic: S = ∞, n(B) = n 0 , but J e is finite, Blocking: S = 0, n(B) > n 0 , but J e is zero. In solar cells we would like to have blocking contacts for the minority carriers so that electrons in the base and holes in the emitter are reflected towards the metallurgical junction. 7.4.2 Emitter photocurrent To derive an expression for the component of the photocurrent due to generation in the emitter quasi-neutral region, we focus on the diffusion of minority carrier holes. Further, we assume that there are no large gradients in the kinetic energy per carrier. Thus, from our master set of equations (5.24), we have, at steady-state and for the region 0 - x - x j P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.4 Photocurrent 123 identified on Fig. 7.6 0 = − 1 q d J E h dx ÷ G op − p − p 0 τ h J E h (x) = −k B T L j h dp dx ≡ −q D h dp dx . (7.13) These equations are to be solved with the boundary conditions D h dp dx ¸ ¸ ¸ ¸ x=0 = S F ( p(0) − p 0 ) p(x j ) = p 0 . (7.14) where S F is the front-surface recombination velocity, and the second boundary condition implies that all excess minority-carrier holes reaching the edge of the space-charge region are swept across the junction by the built-in field. Using (7.11) for G op and assuming an internal quantumefficiency of 100%, the solution for monochromatic light of wavelength λ is J E h (λ. x j ) = q+ 0 αL h α 2 L 2 h −1 _ − αL h e −αx j ÷ H h ÷αL h −e −αx j (H h cosh Q h ÷sinh Q h ) H h sinh Q h ÷cosh Q h _ . (7.15) where Q h = x j ,L h . H h = S F L h ,D h , with L h being the hole, minority carrier diffusion length. 7.4.3 Base photocurrent The photocurrent arising from the minority carrier electrons generated in the base can be derived in a similar manner to that described for the hole current in the emitter. The answer is J B e (λ. x j ÷ W) = q+ 0 αL e e −α(x j ÷W) α 2 L 2 e −1 _ αL e − H e (cosh Q e −e −αB / ) ÷sinh Q e ÷αL e e −αB / H e sinh Q e ÷cosh Q e _ . (7.16) where B / = B −(x j ÷ W). Q e = B / ,L e . H e = S B L e ,D e . 7.4.4 Space-charge-layer photocurrent In the space-charge layer we can reasonably assume that all carriers generated therein are separated by the built-in field. Thus, in deriving the current due to photogeneration in this region we can consider either electrons or holes. The derivation is much simpler than for the photocurrents in the quasi-neutral regions because we can assume that the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 124 7 Solar cells carriers are swept out of the space-charge region so quickly that there is no opportunity for recombination to occur. Thus, using electrons for our derivation, the relevant equation from our master set reduces to 0 = 1 q d J D e dx ÷ G op = 0 . (7.17) where the superscript ‘D’ indicates that we’ll use the Depletion Approximation to esti- mate the width W of the space-charge region. Thus, integrating over x j - x - (x j ÷ W) and, once again, assuming perfect internal quantum efficiency, the result is J D e (λ. x j ) = q+ 0 e −αx j _ 1 −e −αW ¸ . (7.18) 7.4.5 Total photocurrent In the above derivations, two photocurrents are specified at x = x j , and the other (J B e ) is specified at x = (x j ÷ W). However, we can reasonably assume that J B e has the same value at x = x j , because we don’t expect any recombination to occur as the electrons are swept across the space-charge layer. Thus, we can add-up our three components of current to get the total photocurrent density J Ph at any particular wavelength: J Ph (λ) = J E h (λ. x j ) ÷ J D e (λ. x j ) ÷ J B e (λ. x j ÷ W) . (7.19) By summing over the wavelength range of terrestrial sunlight, we get the total photocurrent J Ph = AM1.5G J Ph (λ) . (7.20) An example of the three regional components of the spectral photocurrent for a Si solar cell is shown in Fig. 7.7. The specifications for the cell are listed in the caption to the figure. The total photocurrent density in this example is 39 mAcm −2 . It may surprise you to learn that the largest contribution comes from the region furthest from the front surface. This calculated value of J Ph is very close to the present (2009) world record of 42.2 mAcm −2 for a Si solar cell [6]. We assumed the favourable conditions of no reflection of sunlight from the front surface of the cell, and 100% internal quantum efficiency for the conversion of light entering the cell. The fact that the experimental value of J Ph is even higher than our calculated value indicates that the practical cell has outstanding minority-carrier- and photon-collection-properties. The latter is achieved by having a double-layer anti-reflection coating and by etching the front surface to give it an ‘egg carton’-like topography (see Fig. 7.8). Sunlight hitting one sloping side is partially transmitted into the cell and partially reflected to the opposite sloping side, offering the light another opportunity for transmission into the cell. On the front and back semiconductor surfaces of the practical cell a thin insulating layer of silicon dioxide has been grown to provide a blocking contact, and to passivate the surfaces to reduce P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.4 Photocurrent 125 200 400 600 800 1000 1200 0 100 200 300 400 500 600 700 Wavelength (nm) S p e c t r a l p h o t o c u r r e n t ( A m − 2 − 1 µ m ) Emitter Base Depletion region Figure 7.7 Components of the spectral photocurrent density under AM1.5G illumination for a Si solar cell with the following specifications: x j = 200 nm, B = 450 µm, N D = 5 10 19 cm −3 , D h = 1.29 cm 2 s −1 , L h = 7.2 µm; N A = 1.5 10 16 cm −3 , D e = 27 cm 2 s −1 , L e = 164 µm, S F = 1 ms −1 , S B = ∞. Courtesy of Garry Tarr, ex-UBC. finger n n p p p + + + + p + “inverted” pyramids p-silicon oxide rear contact oxide Figure 7.8 Schematic of the solar cell structure of the present holder of the world-record efficiency for a Si cell. From Jhao et al. [5], C _1997 IEEE, reproduced with permission. recombination 3 . The minority carriers are collected through small ‘windows’ in the oxide via heavily doped regions, which form the surface-field regions discussed above. It is probable that the minority-carrier lifetimes in the practical cell are longer than the 3 At the surface of a crystalline semiconductor there are bonds that are incomplete because of the interruption to the periodicity of the structure. This creates localized energy levels within the bandgap that serve as traps to facilitate electron-hole recombination. The completion of the bonds, often by hydrogen incorporated in the oxide, reduces the number of recombination sites, and the surface is said to be passivated. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 126 7 Solar cells values we have used in Fig. 7.7, giving further reason for the superior performance of the real cell. 7.5 Photovoltage The electrons and holes constituting the photocurrent flow in the direction of a reverse bias current. When this current is led to an external circuit containing some load, R L for example, a voltage is developed across the load such as to forward bias the diode, thereby generating a current that opposes J Ph . Thus, the price paid for generating a voltage is the loss of some net current. The question now arises: can the forward-bias current be estimated using a diode equation of the general form developed for the ideal ‘dark’ diode in Section 6.6? In other words, can the current due to an external bias applied to an unilluminated diode be simply added to the photocurrent to give the total current of a self-biased illuminated diode? The answer is ‘yes’, providing the illumination is not so intense as to (a) cause the quasi-Fermi levels in the space-charge region to deviate significantly from constant values, and (b) cause the minority carrier lifetime to change from its value ‘in the dark’. It turns out that these provisos are met for unconcentrated sunlight at the Earth’s surface [7]. So the diode dark current can be computed using the boundary conditions from(6.29) at the edges of the space-charge region, and a boundary condition like (7.12) at the contact-ends of the quasi-neutral regions. For example, for electrons injected into the base, we have n(x j ÷ W) = n 0p e V Lj ,V th −D e dn dx ¸ ¸ ¸ ¸ x=B = S B (n(B) −n 0 ) . (7.21) where S B is the back-surface recombination velocity, i.e., at x = B, and V Lj is that part of the load voltage that is dropped across the junction: in the absence of series resistance we can take it to be the load voltage V L . The result for the dark current due to electrons emitted into the base is of the general form J e.D = J 0.e [e V L ,V th −1] . (7.22) where the subscript D identifies the dark current, and the saturation current density has been written with a subscript that is different from the ideal-diode case in order to recognize that different boundary conditions are appropriate. A similar expression can be derived for the holes injected across the forward-biased junction into the emitter. If we ignore any current change in the space-charge region due to recombination in that region, the total dark current is the sum of the two injected currents, and is of the form J D = J 0 [e V L ,V th −1] . (7.23) Applying superposition, the load current J L is simply J L = J Ph − J D . (7.24) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.5 Photovoltage 127 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 −4 −3 −2 −1 0 1 2 3 4 Load voltage (V) L o a d c u r r e n t ( A ) No illumination AM1.5 illumination I Ph Figure 7.9 Solar cell I-V characteristic, illustrating the superposition of the photocurrent and the usual, exponential diode current. The polarity of the load current has been chosen to emphasize that the solar cell generates power (I V - 0). The load absorbs power, of course, (I V > 0). and the output characteristic of the solar cell is just a displaced exponential, as illustrated in Fig. 7.9. When the dark current exactly negates the photocurrent, we have effectively open- circuit conditions, and the load voltage is known as the open-circuit voltage V oc . Thus, V oc = V th ln J Ph ÷ J 0 J 0 . (7.25) To examine the limits of V oc , let us write J 0 = C E p n0 ÷C B n p0 = _ C E N D ÷ C B N A _ n 2 i . (7.26) where C E and C B include the minority-carrier properties of diffusion length and sur- face recombination velocity for the emitter and base, respectively, and N D and N A are the appropriate doping densities. The intrinsic carrier concentration n i depends exponentially on the bandgap, so we can rewrite J 0 as J 0 = Ce −E g ,k B T . (7.27) where the constant C includes the bracketed terms in (7.26) and the effective densities of states from (4.19). Thus, the open-circuit voltage becomes V oc ≈ E g q − V th ln _ C J Ph _ . (7.28) from which it is clear that the bandgap imposes a limit on V oc , and that this limit is more closely realized for larger photocurrent densities. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 128 7 Solar cells 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 −1.8 −1.6 −1.4 −1.2 −1 −0.8 −0.6 −0.4 −0.2 0 Load voltage (V) G e n e r a t e d p o w e r ( W ) No parasitic R R s = 0.1Ω, R sh = 10Ω Figure 7.10 Power-voltage characteristic for a 10-cm diameter Si cell with J Ph = 40 mAcm −2 and V oc = 700 mV. The current and voltage at the point of maximum power generation are commonly called I mp and V mp , respectively. The presence of parasitic resistance in the cell affects the maximum power point, as illustrated here. R s is series resistance and R sh is shunt resistance. 7.5.1 Photovoltaic power From Fig. 7.9, we see that for 0 - V L - V oc , the solar cell’s I-V curve is in the 4 th quadrant, where the current is negative and the voltage is positive. Thus, the power is negative, indicating generation, rather than the more usual dissipation. The power characteristic is shown in Fig. 7.10. The power at the point of maximumpower generation is P mp ; it is expressed as a density in W/m 2 , and is given by P mp = J mp V mp ≡ FF J sc V oc . (7.29) where J mp and V mp are the current density and voltage at the maximum power point and J sc is the current at short circuit. The presence of parasitic resistances in the cell can cause [ J sc [ to be less than [ J Ph [, as Fig. 7.11 illustrates. Series resistance can come from the vertical path taken by the current through the thick base region, and from the horizontal path shown on Fig. 7.1 of the current through the thin emitter. The solar cell, being an inherently low-voltage device, is particularly sensitive to series resistance. Shunt resistance arises from internal imperfections at the junction, and from any conductivity along the vertical edges of the device. The former is taken to be more important in the equivalent-circuit representation of the solar cell in Fig. 7.12, as is evident from the placement of R sh . Equation (7.29) defines the fill-factor FF. This factor is somewhat less than unity because the exponential formof the solar cell’s I-Vcharacteristic is only an approximation to the perfect characteristic that would have J L = J sc = J Ph for all V L - V oc . In other words, FF recognizes that a real cell makes the transition from J L = J sc to J L = 0 in a P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.5 Photovoltage 129 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 −3 −2.5 −2 −1.5 −1 −0.5 0 0.5 Load voltage (V) L o a d c u r r e n t ( A ) [R s , R sh (Ω)] = [0.0, 1e6] [R s , R sh (Ω)] = [0.1, 1e6] [R s R sh (Ω)] = [0.1, 1e1] , Figure 7.11 I-V characteristics when parasitic resistances are present. Same cell parameters as in Fig. 7.10. See the caption to Fig. 7.9 regarding the choice of sign for the current. I L V L D + I R Sh S R R L I Ph Figure 7.12 Solar cell equivalent circuit. less abrupt manner. This ‘softness’ of the diode characteristic becomes less important as the bounding area J Ph V oc is increased. Unfortunately, if one seeks to improve V oc by choosing a material of high bandgap, then J Ph will be reduced because of the increased transparency of the material to sunlight. The result of these competing requirements for high V oc and high J Ph is that the photovoltaic conversion efficiency η p: peaks at some value of E g . The results of detailed calculations are shown in Fig. 7.13, and the efficiency is given by η p: = FF J sc V oc S AM1.5G . (7.30) The peak in the maximum-efficiency curve occurs around a bandgap of E g ≈ 1.4 eV, but the peak is quite broad, so silicon is not far from being the theoretically optimum material for a solar cell. This fact, coupled with the mature state of silicon-device processing has led to near-maximum efficiency Si solar cells being realized in practice P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 130 7 Solar cells Table 7.1 World-record values (as of 2008) for photovoltaic conversion efficiencies, as measured in prototype solar cells under unconcentrated AM1.5G illumination. Si data from J. Zhao et al. [6]; CIGS data from I. Repins et al. [9]; tandem cell data from R.R. King et al. [10]. E g J sc V oc FF η p: Semiconductor (eV) (mAcm −2 ) (V) % Si 1.12 42.2 0.706 0.828 24.7 CIGS 1.04–1.7 35.4 0.690 0.812 19.9 GaInP/GaInAs/Ge 1.8/1.3/0.7 16.0 2.392 0.819 31.3 35 30 25 AM1.5 Black-body limit (AMO) 20 E f f i c i e n c y ( % ) 15 10 5 0.5 1.0 1.5 2.0 2.5 Semiconductor band gap (eV) Ge T = 300 K Cds AMO Si Cu 2 S GaAs α–Si:H α–Si:H:F Figure 7.13 Estimates of maximum efficiency vs. bandgap under various illumination conditions. α-Si refers to amorphous silicon, from which thin-film solar cells can be made. Presently (2009), CIGS is a more promising thin-film material; its bandgap is in the range 1.4–1.7 eV (see Section 7.6.1). From Green [8], C _Martin A. Green, reproduced with permission. (see Table 7.1). In addition to its favourable theoretical properties and highly developed processing technology, silicon has the added advantage for a large-area device of being an abundant material. 4 Despite these attributes, solar-cell systems utilizing single-crystal solar cells are still quite expensive. The cost is largely due to the expense of purification and of growth of large-area single crystals. Many commercial silicon solar cells presently use multicrystalline material, which comprises crystallites of size ≈0.1−10 cmin wafers that are sawn from large blocks of cast silicon, rather than being cut from Czochralski- pulled-ingots. The efficiency of experimental multicrystalline Si solar cells has reached ≈20%. 4 Silicon is the second most abundant material in the earth’s crust; it ranks below oxygen. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.6 Non-silicon solar cells 131 ZnO, ITO: 2500 Å ZnO/CdS CIGS Mo Glass CIGS CdS: 700 Å CIGS: 1−2.5 µm Mo: 0.5−1 µm 2 µm Glass, Metal Foil, Plastics Figure 7.14 Cross-section of a CIGS solar cell. From Noufi and Zweibel [11], C _2006 IEEE, reproduced with permission. 7.6 Non-silicon solar cells Two approaches to possibly economically viable solar cells involve thin-film cells or tandem-junction cells. 7.6.1 Thin-film solar cells For many years the dream of thin-film solar cells that could be deposited in situ onto large-area surfaces has been pursued. Presently, an interesting contender is copper indium galliumdiselenide, CIGS. Depending on the ratio of In to Ga in the material, the bandgap lies somewhere between 1.04 eV (no gallium) to 1.7 eV (no indium), i.e., it spans the optimum range shown in Fig. 7.13. Substitutional doping in this ternary compound is not easy to achieve, so excess carriers are created by deliberately encouraging the incorporation of vacancies in the deposited film. For example, if the growth conditions are adjusted so that there is a deficiency of Cu in the CIGS layer, then the Se atoms surrounding the Cu vacancies will be lacking in electrons. Thus, Se accepts electrons from elsewhere, and the material becomes p-type. The reaction is described by V 0 Cu = V − Cu ÷h ÷ : E a = 0.03 eV. (7.31) where V Cu denotes a copper vacancy, and the activation energy E a is small. Unfortunately, formation of an np-junction is not easy because the different types of defect that would be needed tend to compensate each other. Thus, solar cells made from CIGS have a p-type base and use another semiconductor for the n-type emitter (see Fig. 7.14). The diode is, therefore, a heterojunction. The current in this type of junction is discussed in Chapter 9. In CIGS solar cells the n-type semiconductor has a large bandgap and, therefore, does not absorb much sunlight. Instead, it acts as a ‘window’ to allow the solar energy to penetrate directly into the absorbing CIGS film. As Table 7.1 indicates, impressive efficiencies have already been obtained in labo- ratory specimens. There is presently much speculation about whether this performance P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 132 7 Solar cells n–emitter 1 n–emitter 2 n–emitter 3 p–base 1 p–base 2 p–base 3 + _ I L V L = V 1 + V 2 + V 3 Figure 7.15 Tandem-junction solar cell concept. In this example, three solar cells of different materials are connected in series. The bandgaps are E g1 > E g2 > E g3 . can be transferred to large-area cells and make photovoltaic power cost-competitive with conventional power. 7.6.2 Tandem-junction cells In a tandem-junction solar cell, two or more solar cells of different materials are stacked together and connected serially (see Fig. 7.15). The bandgap of the materials decreases from top to bottom, so that photons that would normally pass through a high-bandgap cell and be lost are captured in the lower cells. In this way, more of the solar spectrum is absorbed, and it is absorbed more efficiently. For example, a 2 eV photon will be absorbed in both a semiconductor with E g = 1 eV and a semiconductor with E g = 2 eV. However, in the former case, the extra 1 eV of photon energy will be turned into heat because phonons will be emitted as the excited electron scatters and loses energy (much like the situation shown in Fig. 3.9b for Auger recombination). The rise in temperature will increase the dark current, thereby reducing V oc and, consequently, η p: . P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 7.7 Prospects for terrestrial photovoltaic power generation 133 E C E V E g2 E g1 Figure 7.16 Two cells in tandem. Solid lines indicate the paths of the photogenerated carriers. In this example, more photogeneration occurs in the first cell, which has a bandgap E g1 . A dark current (dashed lines) is generated in this cell to reduce the net current to that of the photocurrent of the second cell. Recombination occurs at the sites marked with crosses. Because of the series electrical connection of tandem cells, V oc is additive, but J Ph is limited to the value for that of the cell within the stack that generates the least photocurrent. Clever design would arrange for the thicknesses of the various parts of each cell to be such that J Ph is the same in each cell. If this is not the case, then the cell producing the higher photocurrent becomes forward biased, so that the net current in each cell is forced to be the same (see Fig. 7.16). 5 The cost of producing a stack of matched, single-crystal solar cells is likely to be relatively high, so one possible practical implementation would be to use only small-area cells, and then to collect the sunlight from a wider area using a concentrating lens. Such an arrangement would boost J Ph , which, in turn, could lead to associated improvements in V oc and FF. For example, the tandem cell listed in Table 7.1, when operated under a solar intensity equivalent to 240 Suns, yet kept cool at 25 ◦ C, shows improvements in V oc and FF to 2.911 V and 0.875, respectively. The efficiency is increased to 40.7%. 7.7 Prospects for terrestrial photovoltaic power generation The world’s consumption of electricity is expected to double over the period 2004–2030, reaching an annual consumption close to 30,000 TWh. Where is all this electricity going to come from? In 2006, the world’s generating mix was as shown in Table 7.2. The fossil fuels (coal, oil, and gas) form the major generating source. These fuels do not naturally regenerate on a time-scale that would permit them to be called renewable sources of energy. Their resources are finite and diminishing. It is debatable whether oil and gas should even be merely burned to raise steam to generate electricity, rather than be used for some other purposes for which they are more uniquely suited, e.g., transportation fuel. Coal-fired 5 This auto self-biasing of cells also occurs in series-connected strings of ordinary solar cells in a module if a shadow falls across one cell. The non-shaded cells develop a forward bias to reduce the net current. This undesirable feature can be protected against at the extra cost of incorporating by-pass diodes in the photovoltaic module. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 134 7 Solar cells Table 7.2 The installed capacity of various electricity-generating sources, expressed as a percentage of the world total. From the International Energy Agency [12]. Coal Oil Gas Nuclear Hydro Renewables 40 7 20 16 15 2 electricity generation is growing enormously because of the abundant deposits in the rapidly developing nations of China and India. Coal plants are relatively cheap, due in part to the undesirable fact that their waste product (which contains a lot of CO 2 ) is usually dumped into the atmosphere without treatment. Regulations governing the disposal of waste products from nuclear generators are, thankfully, more stringent. However, nuclear-power generation is not without some well-known hazards. Hydro power is the most benign of the present generating methods, but many parts of the planet are not blessed with the rainfall and the terrain to facilitate the proliferation of this power source. In view of the above shortcomings of conventional power sources, photovoltaic power generation would seem to be an attractive proposition. However, in 2006, it was lumped- in with ‘renewables other than hydro’, such as biomass, wind, geothermal, wave and tidal, which provided only 2% of the world’s electricity. Obstacles to the widespread utilization of photovoltaics include: r vested commercial interests in existing generating methods; r large real-estate requirements. The daily yield is unlikely to exceed 2 kWh m −2 (full sun for 10 hours per day at 20% conversion efficiency). r high cost of manufacturing solar cells of reasonable efficiency (≈20%). Silicon is the most developed solar-cell semiconductor, and a major component of this cell’s cost derives from the need to produce material of sufficient quality to obtain long minority-carrier lifetimes. r the diurnal nature of terrestrial insolation. This means that solar power plants must be backed-up with some storage capability (probably batteries), or be operated in conjunction with a more consistent and controllable source of power. One solar option that may be cost-effective to implement is building-integrated photovoltaics. In this scheme, houses and commercial buildings are designed with roofs and walls that have solar cells incorporated into their structures, rather than being superficial additions. Each building would then become a distributed generating site, and would be under the control of the local electricity authority. The solar-generated electricity would not have to be used immediately by the owner of the host building, nor would it have to be stored in bulky batteries in the basement. The photovoltaic power would be transmitted around the grid, and used wherever it was needed. The solar power wouldn’t necessarily be expected to meet the demand all of the time. Instead, it would add to power from a baseline source, such as hydro or nuclear. To add significant amounts of photovoltaic power to the generation mix requires a big commitment. It is encouraging that the governments of at least two countries in P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 135 the world, Germany and Japan, have begun to provide the incentives for citizens and industries to invest in photovoltaic power generation. Maybe you can start to lobby your government? Or perhaps you are too busy utilizing the material in this chapter to design a cheaper and more efficient solar cell? Exercises 7.1 A solar cell, which operates in the dark like an ideal diode, is irradiated such that the optical generation rate G op is uniform throughout the volume of the diode. Show that the photocurrent density is given by J Ph = qG op (W ÷ L e ÷ L h ) . (7.32) where W is the depletion-layer width, and the L’s are minority carrier diffusion lengths. 7.2 The E-k relationships for the conduction bands of two semiconductor materials, A and B, each with spherical constant-energy surfaces, can be expressed as E A −0.7 = αk 2 and E B −1.4 = 2α(k −k / ) 2 . respectively, where α is a constant, k / > 0, and the energies are in units of eV. Both materials have the same valence-band structure, with the top of the valence band at E = 0 and k = 0. Which material would make the better solar cell? 7.3 Fig. 7.7 shows the spectral photocurrent density for a Si np-junction solar cell with a base doping density of N A = 1.5 10 16 cm −3 . The particular values used for the basewidth and the electron back surface recombination velocity are W B = 450 µm and S B = ∞, respectively. Would there be any significant effect on the photocurrent if the base properties were: (a) W B = 450 µm and S B = 0 ? (b) W B = 50 µm and S B = ∞? 7.4 Consider a silicon solar cell made from a wafer of diameter 10 cm. The top- contact metal covers 10% of the front-surface area. Under 1 Sun illumination, the photocurrent density is 40 mAcm −2 , and the open-circuit voltage is 0.7 V. (a) Compute I 0 , the diode saturation current. (b) Plot the I-V characteristic under 1 Sun illumination. (c) On a separate plot show the power-voltage characteristic. (d) Evaluate the fill-factor FF and the conversion efficiency η p: . 7.5 The emitter of the cell in the previous question is 200 nm thick and has a doping density of 5 10 19 cm −3 . The top-contact grid pattern is such that the series resistance of the cell can be represented by a slice of the emitter material that is 14.66 µm long and 1 cm wide. (a) Evaluate the series resistance R s of the cell. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 136 7 Solar cells (b) Plot the new I-V characteristic for the cell on the same graph as for the cell with R s = 0 from the previous question. (c) Plot the new P-V characteristic for the cell on the same graph as for the cell with R s = 0. (d) Evaluate the new η p: . 7.6 A photovoltaic module is made by connecting in series two of the cells from the previous question. Ignore series resistance. A shadow falls across one of the cells so that 50% of its top surface is obscured. Plot the P-V characteristic of the module, and evaluate η p: . 7.7 The situation described in the previous question leads to a loss of power at the load, but it also leads to the serious possibility of the shadowed cell burning out. Explain why the temperature is likely to rise in the shadowed solar cell. 7.8 An ingenious approach to possibly reducing the cost of photovoltaic power gener- ation using crystalline solar cells results in a Sliver C _ cell [13]. Imagine a conventional Si solar cell of diameter 10 cm and thickness 450 µm, with lines scribed on the front surface, 100 µm apart, to define 1000 strips. Dicing the cell into strips results in some kerf loss, so the final strips are 60 µm wide. These strips are then laid flat so that they can be exposed to solar radiation over the cross-section of the cell, e.g., at 90 ◦ to the direction shown in Fig. 7.1. Evaluate the improvement in exposed front-surface area that this approach brings about. References [1] ASTM G173-03e1, Standard Tables for Reference Solar Spectral Irradiance at Air Mass 1.5: Direct Normal and Hemispherical for a 37 Degree Tilted Surface. Available from ASTM International, West Conshohocken, PA, [http://www.astm.org/Standards/G173.htm]. [2] T. Markvart and L. Castener, Solar Cells: Materials, Manufacture and Operation, Fig. 7, Elsevier, 2005. [3] M.A. Green and M.J. Keevers, Optical Properties of Intrinsic Silicon at 300 K, Progress in Photovoltaics: Research and Applications, vol. 3, 189–192, 1995. [4] W. Shockley, Electrons and Holes in Semiconductors, p. 321, D.Van Nostrand Co., Inc., 1950. [5] Z. Jhao, A. Wang, P. Campbell and M.A. Green, 22.7% Efficient PERL Silicon Solar Cell Module with Textured Front Surface, Proc. IEEE 26th Photovoltaic Specialists Conf., pp. 1133–1136, 1997. [6] J. Zhao, A. Wang and M.A. Green, 24.5% Efficiency Silicon PERT Cells on MCZ Substrates and 24.7% Efficiency PERL Cells on FZ Substrates, Progress in Photovoltaics: Research and Applications, vol. 7, 471–474, 1999. [7] N.G. Tarr and D.L. Pulfrey, An Investigation of Dark Current and Photocurrent Superposition in Solar Cells, Solid-State Electronics, vol. 22, 265–270, 1979. [8] M.A. Green, Solar Cells: Operating Principles, Technology and System Applications, p. 89, Prentice-Hall, 1982. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 References 137 [9] I. Repins, M.A. Contreras, B. Egaas, C. DeHart, J. Scharf, C.L. Perkins, B. To and R. Noufi, 19.9% Efficient ZnO/CdS/CuInGaSe 2 Solar Cell with 81.2% Fill Factor, Progress in Photovoltaics: Research and Applications, vol. 16, 235–239, 2008. [10] R. R. King, D. C. Law, K. M. Edmondson, C. M. Fetzer, G. S. Kinsey, H. Yoon, R. A. Sherif and N. H. Karam, 40% Efficient Metamorphic GaInP/GaInAs/Ge Multijunction Solar Cells, Appl. Phys. Lett., vol. 90, 183516, 2007. [11] R. Noufi and K. Zweibel, High Efficiency CdTe and CIGS Thin-film Solar Cells: Highlights and Challenges, IEEE 4th World Conference on Photovoltaic Energy Conversion, pp. 317– 320, 2006. [12] International Energy Agency, World Energy Outlook, 2006, ISBN: 92 64 10989 7. (The IEA is the recognized authority on global energy growth; it publishes an outlook annually.) [13] K.J. Weber, A.W. Blakers, P.N.K. Deenapanray, V. Everett and E. Franklin, Sliver c Solar Cells, Proc. IEEE 31st Photovoltaic Specialists Conf., pp. 991–994, 2005. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 8 Light-emitting diodes The light-emitting diode (LED) is a pn-junction diode in which radiative recombination is encouraged to occur under forward-bias operating conditions. Thus, there is conversion of electrical energy to optical energy. In essence, the LED is the complement of the solar cell. In the example shown in Fig. 8.1, the internally generated photons escape through the top surface, which cannot, therefore, be covered entirely by the top metallic contact. In the first part of this chapter, we develop an understanding of the LEDby considering a number of efficiencies that relate to the various stages of the conversion of electrical energy to optical energy: r voltage efficiency. This relates the applied voltage to the bandgap of the semiconductor. The latter would be chosen to obtain the desired colour of emitted light; r current efficiency. This relates the current due to recombination in the desired part of the device to the current due to recombination elsewhere. Consideration of this effi- ciency leads to the heterostructural design that is a feature of modern, high-brightness LEDs; r radiative efficiency. This relates to the relative amounts of radiative recombination and unwanted, non-radiative radiation. It leads to material selection (direct bandgap), and specifications on doping and purity; r extraction efficiency. This relates to getting the photons out of the semiconductor in which they are generated. Consideration of this efficiency largely determines the substrate, contacts, and, in some cases, the shape of the device. r wall-plug efficiency. This describes the overall efficency of the electrical-to-optical conversion process. We then go on to discuss the features of white-light LEDs, and conclude with a short appraisal of the prospects of LEDs making an impact in the area of general lighting. 8.1 Voltage efficiency At equilibrium, we know that electrons tend to reside in states near the bottom of the conduction band, and that holes preferentially reside near the top of the valence band. These dispositions will be altered somewhat by the application of a forward bias to a pn-junction, but we can expect that the energy of any photons resulting from radiative 138 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 8.1 Voltage efficiency 139 Table 8.1 Bandgap and emitted-light properties for two material systems used for high-brightness LEDs. The bandgap is increased by increasing the mole fraction x of Ga in the GaInN system and of Al in the AlGaInP system. Semiconductor E g λ Colour (eV) (nm) Ga x In 1−x N 2.64 470 blue 2.36 525 green 2.10 590 yellow (Al x Ga 1−x ) y In 1−y P 2.03 610 orange 1.98 625 red p p n + + − + Figure 8.1 The basic LED, illustrating how injection of electrons and holes into the space-charge region leads to recombination and the generation of light. recombination will be close to that of the bandgap E g (see Exercise 8.6). We define a voltage efficiency as η V ≡ ω qV a ≈ E g qV a . (8.1) where V a is the magnitude of the applied forward bias, and ω is the radian frequency of the generated light. Some properties of the two material systems from which today’s high-brightness LEDs are made are listed in Table 8.1. Equation (8.1) defines the applied voltage required to get a voltage efficiency of unity when using the semiconductor that has been chosen for a particular frequency of light output. Evidently, employment of V a - ω,q would lead to higher voltage efficiences, but, because of the exponential dependence of the carrier concentrations on potential (see (6.27)), the use of such low biases would not prove helpful in obtaining a high overall efficiency. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 140 8 Light-emitting diodes E l e c t r o n e n e r g y x H 0 0 E E l E V E Fn E C E Fp Figure 8.2 Energy-band diagram of a forward-biased P ÷ pN ÷ heterojunction diode. Radiative recombination is encouraged in the low-bandgap material. 8.2 Current efficiency The rate of radiative recombination is proportional to the local p(x)n(x)-product (see Section 3.1.2). In a forward-biased diode, the minority-carrier concentrations will be elevated above their equilibrium values over distances that extend from the space-charge layer by several minority-carrier diffusion lengths (see Fig. 6.8). Thus, photon generation will occur over a length of the order of microns. To obtain more intense photon generation, the minority carriers must be concen- trated into a smaller region. This is achieved in modern high-brightness LEDs by using dissimilar materials for the p- and n-regions of the diode. An example of such a het- erostructure diode is shown in Fig. 8.2. This structure uses a low bandgap material sandwiched between two higher bandgap materials to form a potential well in which the minority carriers are trapped, thereby increasing their concentrations and, consequently, the intensity of the generated light. The region of carrier confinement is called the active layer. We will discuss this heterojunction energy-band diagram in more detail in the next subsection, after we have defined the current efficiency. Consider the current due to electrons that are injected fromthe N-region and recombine in the active region with holes that are injected from the P-region. From our master set of equations (5.24) the electron current density, in steady-state and with no non-thermal generation of electron-hole pairs, can be found from 1 q d J e dx − Ln τ e = 0 . (8.2) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 8.2 Current efficiency 141 Integrating over the active region, which we take to be bounded by x = 0 and x = H, _ H 0 Ln τ e dx = _ H 0 1 q d J e dx dx . (8.3) Assume that the minority carrier lifetime is uniformover the active region, and introduce the radiative recombination lifetime _ H 0 Ln τ rad dx = τ e τ rad 1 q _ H 0 d J e dx dx = τ e τ rad 1 q [J e (H) − J e (0)] . (8.4) Ideally, we would like J e (0) →0 as this would mean that there was no electron recom- bination in the P-region. Thus, the current efficiency of an LED is defined as η C = [J e (H) − J e (0)] J D . (8.5) where J D is the total current density of the diode. To reduce J e (0) it is necessary to prevent electrons from escaping into the P-region. This is best accomplished by making a large energy barrier for electrons at the interface between the active region and the P-region. Similarly, it is desirable to have a barrier at the active/N-region junction to prevent the escape of holes from the active region. These attributes can be realized by implementing heterojunctions of the Type-I variety discussed in Section 6.7.1. LEDs are usually p-on-n diodes, unlike solar cells, which are usually n-on-p diodes. In an LED it is not necessary to have the junction region extremely close to the surface because the photons are all of energy very close to the bandgap, and so the relevant absorption coefficient is low. For mechanical reasons the bottom layer of the LED is likely to be thick, and n-type material is preferred for this region in order to min- imize series resistance. ‘Vertical’ resistance dominates in this device because there is little lateral current, and the LED has a much smaller cross-sectional area than a typical solar cell. Also, recall that the LED operates in forward bias, so the current is large and series resistance is to be avoided in order to obtain the desired junction voltage. 8.2.1 Heterojunction diodes A prerequisite for a practical semiconductor/semiconductor heterojunction is that there should be a good match between the lattice constants of the two materials. Otherwise, there will be defects at the interface, causing recombination of electrons and holes via intra-bandgap states. Such recombination is particularly undesirable in an LED because it is likely to be non-radiative (see Section 3.2). For illustrative purposes we’ll focus for the moment on the Al x Ga 1−x As system, which, as can be seen from Fig. 8.3, gives good lattice matching to GaAs over almost the entire compositional ratio. In fact, for LEDs we’re only interested in mole fractions up to about x = 0.4, because after that the smallest bandgap in AlGaAs becomes indirect. For drawing the energy-band diagram, the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 142 8 Light-emitting diodes Lattice Constant (Å) B a n d g a p ( e V ) AIP GaP GaAs AIAs Si Ge InP InAs a = 5.8688 Å matched to InP a = 5.6533 Å matched to GaAs 90 40 30 20 10 50 60 70 80 5.4 5.5 5.6 5.7 5.8 5.9 6 6.1 2.5 2 1.5 1 0.5 0 Figure 8.3 Bandgap dependence on composition of some binary, ternary and quaternary compound semiconductors. From Schwierz [1], C _2000 IEEE, reproduced with permission. relevant properties are the bandgap and the electron affinity, as discussed in Section 6.7. The mole fraction dependencies of these two parameters for x - 0.4 are E g (x) = 1.424 ÷1.247x eV χ(x) = 4.07 −0.79x eV. (8.6) From these it follows that the band offsets between AlGaAs and GaAs are LE C = 0.79x eV and LE V = 0.46x eV. These offsets are discontinuities at the band edges, which give the energy-band diagram for a heterostructure a different appearance from that for a homojunction. The example shown in Fig. 8.2 is for a P ÷ -AlGaAs/p-GaAs/N ÷ - AlGaAs LED operating under forward bias. The procedures for constructing the band diagram are the same as listed in Section 6.1.2 and Section 6.3.1. Because the electron affinity for GaAs is greater than that of AlGaAs, the active region becomes a ‘potential well’. The carriers injected from the neighbouring AlGaAs regions are confined by the interfacial potential barriers, thereby encouraging recombination of electrons and holes in the active region. 8.3 Radiative recombination efficiency Now that we have engineered a situation in which recombination is confined to a well-defined active region, we need to ensure that the recombination is predomi- nantly radiative. This means, first of all, that the active material should be a direct bandgap semiconductor (see Section 3.2.1). Secondly, to obtain a high rate of radiative P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 8.4 Extraction efficiency 143 recombination, high concentrations of both types of carrier are needed (see (3.19)). This means a high level of injection into the active layer of both electrons and holes must be established, which necessitates the forward-biasing of the device (see Fig. 8.2). The background doping density of the active layer is usually chosen to make the region p-type: in this way electrons are the minority carriers, and their superior minority-carrier mobility ensures a more uniformcarrier distribution in the active layer and, consequently, a more spatially uniform generation of photons. From (3.18), the net rate of recombination for electrons is given by Ln,τ e . The radiative recombination efficiency is given by η rad = 1,τ rad 1,τ e = τ e τ rad . (8.7) where τ e , the total minority-carrier lifetime for electrons, is given by (3.24). The principal non-radiative contributors to τ e are RG-centre recombination and Auger recombination, as discussed in Section 3.2. To reduce the former, the active layer must be of high crystalline perfection. This means that the active-layer material must be lattice-matched to the substrate material on which it is epitaxially grown. For example, from Fig. 8.3, the quaternary material (Al x Ga 1−x ) y In 1−y P is lattice-matched to GaAs at y ≈ 0.5. The matching is maintained for varying values of the Al mole fraction x. In practice, x - 0.5 as beyond that AlGaInP has an indirect bandgap. Incorporation of dopants inevitably introduces defects into a crystal, so the p-type doping density in the active layer is usually kept low (≈10 16 cm −3 ). By comparison, the doping densities in the adjoining confinement layers are one or two orders of magnitude higher. This ensures that the space-charge region of the diode is contained largely within the active layer, and that the parasitic resistances of the quasi-neutral regions are low. Some idea of attainable values for η rad can be obtained from Fig. 8.4, where the radiative efficiency for GaAs is plotted as a function of the excess electron concentra- tion. The various lifetimes were evaluated using the equations in Section 3.2.4 and the recombination parameters from Table 3.1. Notice that high-level-injection conditions have to be attained before η rad becomes appreciable. The situation would be helped, of course, if the rate of RG-centre recombination could be considerably reduced, as the top curve indicates. 8.4 Extraction efficiency The final stage in the electrical-optical conversion process is to get the internally gen- erated photons out of the device. The extraction efficiency is defined as the ratio of the optical power that actually escapes from the structure to the optical power that is generated within the diode η ext = S out S gen . (8.8) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 144 8 Light-emitting diodes 10 15 10 16 10 17 10 18 10 19 0 0.2 0.4 0.6 0.8 1 ∆n (cm −3 ) R a d i a t i v e r e c o m b i n a t i o n e f f i c i e n c y t e,RG e,RG = 10 ns t = 1 ns Figure 8.4 Radiative recombination efficiency for p-type GaAs of doping density 10 16 cm −3 . The recombination parameters of Table 3.1 were used for the bottom curve. For the top curve, τ e.RG was increased by a factor of 10. Extracting the light is inherently difficult because the relatively large value of refractive index for most semiconductors means that the critical angle θ c , beyond which total internal reflection occurs, is small. For example, GaAs has a refractive index of about 3.5, so, at the semiconductor/air interface, from Snell’s Law θ c = arcsin _ 1 3.5 _ ≈ 17 ◦ . (8.9) The spontaneous light emission from an LED is omnidirectional, and if the light is taken to emanate from a point source, it is easy to estimate the optical power that exits through a segment of a spherical surface defined by a polar angle of θ c . The situation is illustrated in Fig. 8.5, from which the following expression can be deduced: S out = S gen 2πr 2 (1 −cos θ c ) 4πr 2 . (8.10) For a point source in GaAs, this means that only about 2% of the optical power would escape into the surrounding air! The semiconductor layers in an LEDare usually deposited epitaxially onto a substrate, and the resulting structure is planar. Thus, the light source is more like a plane than a point, so the extraction situation is not as bad as just described. Shaping of the semiconductor die by sawing is possible, and wedge-shaped diodes, in which total- internal reflection is reduced by effectively increasing θ c have been reported. Details are given by Schubert [2, Chapters 9,10], who also discusses designs with reflecting bottom surfaces to improve the light output from the top surface. Here, we briefly describe the innovative structure shown in Fig. 8.6. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 8.4 Extraction efficiency 145 q r Figure 8.5 Light emitted from a point source and emerging from a spherical surface only through the shaded area. The fraction of emitted light to generated light is given by (8.10) with θ = θ c . Roughened n-GaN Metal anode/cathode contacts MQW active region Ceramic Submount n-GaN p-GaN Figure 8.6 LED with pyramidal front surface and flip-chip mounting. Reused with permission from O.B. Shchekin, J.E. Epler, T.A. Trottier, T. Margalith, D.A. Steigerwald, M.O. Holcomb, P.S. Martin and M.R. Krames, Applied Physics Letters, 89, 071109 (2006) [3]. Copyright 2006, American Institute of Physics. This is an InGaN/GaN LED, the semiconducting layers of which are grown on a substrate that is subsequently removed by etching. The bottom layer (n-GaN) is then subjected to an anisotropic etch that results in a texturing of the surface that is similar to that employed in the high-efficiency solar cell of Fig. 7.8. The entire chip is then flipped over so that the roughened surface becomes the top of the LED. The internally generated light is guided by each cone via several internal reflections, after each one of which the angle of incidence becomes more nearly normal, until the light emerges near the tip of the cone. There are no contacts on the textured front surface, so it is desirably transparent. The contacts to the front and back regions of the device are made from the bottom, and are bonded to a ceramic substrate of high thermal conductivity. In the reference cited, very high brightness was reported for blue-green versions of this diode. We’ll meet this impressive LED again in Section 8.7 in the context of white light emission. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 146 8 Light-emitting diodes 8.5 Wall-plug efficiency Combining all the efficiencies of the previous sections, the output optical power density can be written as S out = (V D η V ) (J D η C ) η rad η ext . (8.11) where V D and J D are the applied bias and diode current density, respectively. Rear- rangement of this equation leads to an expression for the overall efficiency of the electrical-to-optical conversion process, the so-called wall-plug efficiency: S out P in = η V η C η rad η ext . (8.12) Wall-plug efficiencies for high-brightness LEDs are steadily improving, and a value of 56% has recently been reported [4]. As you will have probably deduced from the foregoing sections, the extraction efficiency is presently limiting performance, and, consequently, it is the subject of intensive research and development. High values of the other efficiencies can be obtained by: operating at a forward-bias voltage close to E g ,q, using a heterostructure to confine the recombination to a well-defined active layer and operating at high current to enhance radiative recombination. 8.6 Luminous efficacy and efficiency The wall-plug efficiency is an example of a radiometric measurement, i.e., one that involves the objective physical properties of power, as would be recorded by a calibrated photodetector and a wattmeter. More commonly, figures-of-merit for LED optical per- formance are quoted in terms of photometric units, i.e., relating to optical power that is perceived by the eye. The eye is most sensitive to the colour green, as can be seen from the plot of the eye sensitivity function γ in Fig. 8.7. So, the optical power perceived by the eye can be expressed in watts as _ λ γ S / out (λ) dλ, where the prime signifies power, rather than power density, and S / out (λ) is the spectral power density in W,m. In practice, the perceived optical power is usually expressed in units of lumens (lm), by applying a conversion factor of 683 lm/W. This factor can be traced back to the old light-intensity unit of candlepower, which was originally defined in terms of the light output of a stan- dard candle. Thus, S / watts of optical power are perceived by the eye as + lumens of luminous flux according to + = 683 _ λ γ S / out (λ) dλ (lumens) . (8.13) The luminous efficacy measures the effectiveness of the eye in perceiving optical power: luminous efficacy = + S / out (lm,W) . (8.14) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 8.7 White-light LEDs 147 683 683 100 10 1 0.1 800 700 600 500 400 300 10 0 10 −1 10 −2 10 −3 10 −4 Wavelength λ (nm) E y e s e n s i t i v i t y f u n c t i o n γ L u m i n o u s e f f i c a c y ( l m / W ) 555 nm CIE 1978 Photopic vision Figure 8.7 Eye sensitivity function. Note that the function is normalized to the peak sensitivity, which occurs in the green part of the spectrum. From Schubert [2, Fig. 16.7], C _Cambridge University Press 2006, reproduced with permission. Thus, for monochromatic emission at 555 nm, the luminous efficacy is 683 lm/W, as indicated on Fig. 8.7. Outside of the visible range, the luminous efficacy is essentially zero. The final photometric property of interest to us is the luminous efficiency: it is also expressed in lm/W, and relates the perceived optical power to the electrical power supplied to the LED. Thus luminous efficiency = + I D V D (lm,W) . (8.15) To relate our earlier radiometric property of wall-plug efficiency to these photometric properties, note that luminous efficiency = wall-plug efficiency luminous efficacy . (8.16) 8.7 White-light LEDs With the advent of blue-green InGaN LEDs, it is now possible to obtain white light by mixing the output from such LEDs with the red light from AlGaInP LEDs. This opens up enormous opportunities for solid-state lighting in general-purpose applications. The human eye senses colour through rod and cone cells in the retina that are specif- ically sensitive to red, green, or blue light. Combinations of certain intensities of these colours are perceived by the eye as white light. For standardization and colourimetric reasons, three colour-matching functions have been developed. Each is centred on a par- ticular wavelength (red, green, or blue) and has some spectral content such that specific combinations of the intensities of the three sources can produce any desired colour. The P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 148 8 Light-emitting diodes GaInN LED 525 nm (green) GaInN LED 505 nm (blue–green) GaInN LED 498 nm (blue–green) GaInN LED 450 nm (blue) 400 500 CIE “Illuminant C” (White light) 700 600 580 560 540 520 nm AlGaInP LED 590 nm (amber) AlGaInP LED 605 nm (orange) AlGaInP LED 615 nm (red-orange) AlGaInP LED 626 nm (red) x-axis y - a x i s Figure 8.8 Chromaticity diagram, showing the colours of the light from various LEDs. From Schubert [2, Fig. 17.10], C _Cambridge University Press 2006, reproduced with permission. chromaticity coordinates corresponding to the red, green, and blue colour-matching functions are labelled x. y. z, respectively. The x-coordinate, for example, measures the ratio of the stimulus of the red cells in the eye to the total stimulus of all the colour cells to the entire visible spectrum. Thus, x ÷ y ÷ z = 1, and it is only necessary to stipulate two chromaticity coordinates when specifying a particular colour. This is the basis of the chromaticity diagram, as illustrated in Fig. 8.8. The point at the centre of the white zone has chromaticity coordinates (x. y) = (1,3. 1,3). Consider the points on the chromaticity diagram of the 450 and 525 nm GaInN LEDs and of the 626 nm AlGaInP LED. Join-up the points to form a triangle. The area within the triangle defines the range of colours that can be obtained by mixing different intensities of the light from each of the three diodes. The range of attainable colours is large; it is called the colour gamut, and it includes white light. Now take two LEDs, e.g., the blue-green LED at 498 nm and the red LED at 626 nm. The line between these two passes through the white zone, indicating that some power ratio of these two diodes could produce white light. Rather than use separate, discrete diodes to obtain the additive colour mixing, it is possible to integrate diodes into a stack of layers, with one sequence of layers (con- finement regions and active region). Such monolithic dichromatic structures, and even higher-order stacks of diodes, are presently under development. At the moment (2009), white-light LEDs usually employ wavelength conver- sion rather than additive colour mixing. In wavelength conversion, some of the diode-generated light is absorbed by a material and re-emitted at longer wavelengths. The phosphorescent light and the residual shorter wavelength light can, collectively, span a sufficiently large wavelength range that the output appears white. Stimulation of a cerium doped yttrium aluminum garnet (YAG) phosphor by 460 nm light from a GaInN diode is one particular, useful combination. A practical example using the high-brightness flip-chip diode discussed earlier under a phosphor dome is shown in Fig. 8.9. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 8.8 Prospects for general-purpose solid-state lighting 149 Figure 8.9 The flip-chip InGaN/GaN LED of Fig. 8.6 emits into a YAG phosphor dome, resulting in the emission of white light. From Shchekin and Sun [4], C _2007 Institute of Physics, reproduced with permission of the publishers, the author, and Philips Lumileds. As white-light LEDs penetrate into general-purpose lighting markets, the issue of colour rendering arises. The ability of an illuminating light source to faithfully render the colours of the object being illuminated is called the colour rendering index, CRI. The Sun is characterized by a CRI of 100, and other light sources are measured relative to this. Tungsten-filament and quartz-halogen lamps have a CRI close to 100, but fluorescent lights have a considerably lower index. The fluorescence is from a phosphor, which is excited by a gaseous discharge, and the light emission lacks intensity in the red end of the spectrum, giving a ‘cool-light’ experience. It follows that for LEDs to obtain high CRI values, the light from several different LEDs will have to be combined. Trichromatic white-light LEDs have been demonstrated with CRI> 90 [2, p. 338], and research in this area is intense. 8.8 Prospects for general-purpose solid-state lighting In 2001, 22% of the electricity consumed in the USA was used for lighting. The genera- tion of this amount of electricity was responsible for 7%of all the carbon emitted into the atmosphere in the US [6]. These startling figures stemfromthe poor wall-plug efficiency of conventional lamps, e.g., ≈5% for incandescent lamps, and ≈20% for fluorescent lamps. The former lamps originated in the 1870s, and the latter in the 1930s, so it is perhaps time for something new on the lighting scene. High-intensity discharge lamps are relatively new, and relatively energy efficient, but it is solid-state lighting, with its direct conversion of electrical energy to light, as described in this chapter, that offers most promise for sustainable lighting. This fact has been recognized, at least in the US, and a roadmap to spur the development of white-light LEDs for general-purpose lighting has been drawn-up. This map is shown in Fig. 8.10. Note that ‘luminous efficiency’, as P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 150 8 Light-emitting diodes Figure 8.10 Roadmap for the development of solid-state lighting. Projected performance of LEDs is compared with conventional lighting. Note that ‘luminous efficacy’ in this table is the same as ‘luminous efficiency’ as we have defined it. From Tsao [5], C _2004 IEEE, reproduced with permission. defined in this book, is sometimes confusingly referred to as ‘luminous efficacy of the source’. Thus, the first row of the table in Fig. 8.10 is what we have called luminous efficiency. From the Table it is clear that the luminous efficiency and longevity of LEDs already exceed that of incandescent lamps, but the flux (brightness), cost and CRI are all in need of improvement. Therein lies the challenge for future designers! P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 151 Exercises 8.1 The E-k relationships for the conduction bands of two semiconductor materials, A and B, each with spherical constant-energy surfaces, can be expressed as E A −0.7 = αk 2 and E B −1.4 = 2α(k −k / ) 2 . respectively, where α is a constant, k / > 0, and the energies are in units of eV. Both materials have the same valence-band structure, with the top of the valence band at E = 0 and k = 0. Which material would make the better LED? 8.2 Fig. 8.2 shows the band diagram for a P ÷ pN ÷ AlGaAs/GaAs/AlGaAs LED under forward bias. Construct the corresponding band diagramfor an In 0.49 Ga 0.51 P/GaAs/ In 0.49 Ga 0.51 P LED. 8.3 Explain which of the above two LEDs (the AlGaAs device or the InGaP device) is likely to have the better current efficiency. 8.4 When GaP is co-doped with oxygen and zinc two impurity levels occur at similar spatial coordinates: the O-level is 0.80 eV below the GaP conduction-band edge, and the Zn-level is 0.04 eV above the valence-band edge. LEDs made from GaP:O:Zn emit in the red (≈700 nm). Although these diodes are not used commercially nowadays, they are interesting because the emitted wavelength is much shorter than would be expected from a simple O →Zn transition. Suggest a possible reason for this ‘shift’ in wavelength. 8.5 Fig. 3.7 shows radiative recombination involving an electron and hole separated in energy by the bandgap energy E g . Radiative recombination can also occur between carriers not at the band extrema but with equal momenta k. (a) Show that the photon energy dispersion relationship can be written as E ph = E g ÷ 2 k 2 2m ∗ r . (8.17) where m ∗ r is called the reduced effective mass, and (8.17) defines the joint dispersion relation. (b) Show that the reduced effective mass for GaAs is ≈0.059m 0 . 8.6 Regarding the energy dependence of the emission intensity of an LED, there are two main factors to consider: (i) the joint density of states increases as _ E − E g , analogusly to the density of states in (3.32); (ii) the probability of occupancy of these states falls off as exp(−E,k B T), at least for a Maxwell-Boltzmann distribution. Show that these dependencies conspire to give maximum emission at an energy of E g ÷k B T,2. 8.7 Two LEDs, A and B, each emit 1 mW of optical power when operating at a current of 1 mA and a forward bias of 2 V. LEDAemits in the ultra-violet part of the spectrum, and LEDBemits at 470 nm in the blue part of the spectrum. For each diode evaluate: (a) the wall-plug efficiency; (b) the luminous efficacy; (c) the luminous efficiency. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 152 8 Light-emitting diodes References [1] F. Schwierz, Microwave Transistors – the Last 20 Years, Proc. IEEE 3rd Int. Caracas Conf. on Devices, Circuits and Systems, pp. D28/1–7, 2000. [2] E.F. Schubert, Light-Emitting Diodes, 2nd Edn., Cambridge University Press, 2006. [3] O.B. Shchekin, J.E. Epler, T.A. Trottier, T. Margalith, D.A. Steigerwald, M.O. Holcomb, P.S. Martin and M.R. Krames, High Performance Thin-film Flip-chip InGaN-GaN Light-emitting Diodes, Appl. Phys. Lett., vol. 89, 071109, 2006 [4] O.B. Shchekin and D. Sun, Evolutionary New Chip Design Targets Lighting Systems, Com- pound Semiconductors, vol. 13(2), 2007. [5] J.Y. Tsao, Solid-state Lighting: Lamps, Chips and Materials for Tomorrow, IEEE Circuits and Devices Magazine, pp. 28–37, May/June 2004. [6] Basic Research Needs of Solid-State-Lighting, Report of the Basic Energy Sciences Workshop on Solid-State Lighting, May 22–24, 2006. Online [http://www.er.doe.gov/bes/ reports/abstracts.html#SSL]. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 9 HBT basics The first commercial bipolar junction transistors (BJTs) were made from germanium. Because of the low bandgap of this material (0.67 eV), the intrinsic carrier concentration is high. As n i increases exponentially with temperature (see (4.19)), these Ge BJTs were unstable, unless operating in a temperature-regulated circuit. Silicon, with its larger bandgap, proved to be a better proposition, and the first Si BJTs appeared in the early 1950s. These transistors ushered in the era of solid-state electronics. They were not challenged until MOSFETs started to appear in the 1960s, and to provide a superior transistor for circuits in which a high input impedance was important. With the advent of CMOS in 1963, the age of large-scale integration began, and the MOSFET became the more ubiquitous transistor. However, as we show elsewhere in this book, the bipolar transistor has inherent advantages in high-frequency performance, due to its superior transconductance, and in high-power applications, due to its favourable geometry. BJTs are also more robust than MOSFETs, which is why many readers will have become familiar with them during their electronics laboratory classes. Perhaps the biggest event in BJT development in the last 20 years has been the advent of heterojunction bipolar transistors (HBTs). In the single heterojunction version of these transistors, dissimilar semiconducting materials are used for the emitter and the base, whereas the base and collector are made from the same semiconductor. Thus, there is one heterojunction, e.g., an Np-junction as discussed in Section 6.7, and one homojunction, e.g., a pn-junction, as discussed in Chapter 6. For an Npn HBT, the idea is to choose two materials that give a barrier height for holes that is much larger than that for electrons. This allows a much larger doping density to be used in the base, when compared to conventional BJTs, thereby giving a very low base resistance, without excessive base/emitter hole current. The benefit of this is discussed at length in the later chapter on high-frequency transistors. We have already come across heterojunction diode structures in the chapter on LEDs, and have described the formation of such structures by the sequential, epitaxial deposition of thin semiconducting films onto a substrate that would, ideally, be lattice-matched to the deposited films. In particular, the two materials comprising the heterojunction must have very similar lattice constants (see the length a in Fig. 2.1), otherwise there will be defects at the interface, causing unwanted recombination-generation centres (see Section 3.2.2). From the data in Fig. 8.3 it can be seen that materials from the AlAs-GaAs materials system, and from the GaP-InP system, are prime candidates for HBTs constructed on GaAs substrates. Silicon is not such a promising material with which to form heterojunctions as there is not the same 153 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 154 9 HBT basics B E B C Emitter cap Emitter Base Collector Collector E B C C B Sub–collector n Emitter n + GaAs n + InGaP p + GaAs GaAs n + – GaAs Base Figure 9.1 Basic structure of a InGaP/GaAs HBT. The n ÷ emitter cap layer facilitates ohmic contacting to the emitter metallization, and the n ÷ sub-collector reduces the collector resistance. The main part of the transistor is within the region defined by the dashed-line box. This part of the transistor can be represented by the basically 1-D element shown in the lower part of the figure. This reduced structure is valid because the critical dimension is the basewidth (vertical dimension), which is much less than any significant lateral feature size. variety of lattice-compatible materials to choose from. However, suitably defect-free junctions can be grown between Si and a dilute alloy of Si 1−x Ge x , with the Ge mole fraction x not exceeding about 15%. By increasing the Ge mole fraction through the base, the bandgap gets progressively smaller, and a field is created in the base. This field aids the transport of electrons across the base to the collector, thereby improving the high-frequency performance of the transistor, as discussed in Chapter 14. The HBT is a good example of a device designed by bandgap engineering [1]. 9.1 Basic properties Fig. 9.1 shows the basic structural arrangement of an HBTusing semiconductor materials of the III-V compound variety. The various semiconducting layers would be deposited epitaxially. Note the pairs of contacts for the base and the collector. This arrangement is to reduce both the base spreading resistance (see Section 16.3.1) and the series resistance of the access regions to the main part of the transistor. The latter is, essentially, a vertical slice through the transistor, as shown in the lower part of Fig. 9.1. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 9.1 Basic properties 155 x = = E l e c t r o n e n e r g y 0 E V ∆ c N E C E F E V c N c p ∆E g E l E 0 x dp −x dn Figure 9.2 Equilibrium energy-band diagram of the base/emitter region of a p-GaAs/N-InGaP HBT. Note the equality of the electron affinities. The energy band diagram for an HBT is constructed following the procedure detailed in Section 6.1.2. In Fig. 9.2 we show the particular example for the single heterojunction bipolar transistor depicted in Fig. 9.1. It comprises: an emitter of N-In 0.49 Ga 0.51 P, a base of p ÷ -GaAs and a collector of n − -GaAs. The particular mole fractions for In and Ga in the InGaP layer are chosen to give a good lattice match to GaAs (see Fig. 8.3). The bandgap for this material is 1.86 eV. The electron affinities of GaAs and In 0.49 Ga 0.51 P are essentially the same, so the difference in bandgaps (≈0.4 eV) is taken up entirely by the band-edge offset in the valence band. This illustrates the defining feature of HBTs: the creation of different energy barriers for electrons and holes at the emitter/base junction. As we have done elsewhere in this book, we follow the common practice of denoting the region of higher bandgap by an uppercase symbol. By having a higher hole barrier, the doping density in the base can be increased without compromising the current gain. 1 The high base doping density also allows a very narrow base to be used without causing the base access resistance to become excessive. A narrow base is advantageous because of two reasons: it enables a steep profile for the minority carrier concentration to be maintained, which leads to a high collector current; it means that there is less minority carrier storage and, consequently, less base-storage capacitance. Both these factors help in the attainment of a high f T . The 1 Base doping densities of 10 19 −10 20 cm −3 are common, and are 1–2 orders of magnitude higher than in the emitter. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 156 9 HBT basics reduced base resistance helps in realizing a high f max . Both of these frequency metrics are discussed in Chapter 14 on high-frequency devices. From (6.39) it follows that the built-in voltage for our sample device is qV bi = k B T ln _ N Cp N CN n 0N n 0p _ . (9.1) Assuming similar effective densities of states for InGaP and GaAs, the built-in voltage for an emitter doping density of N D = 3 10 17 cm −3 and a base doping density of N A = 6 10 19 cm −3 , for example, is 1.46 V. In preparation for deriving an expression for the current in the next section, we recognize a very important difference between the ideal diode discussed in Section 6.6 and a modern HBT: the width of the base. In an ideal diode it is infinite, so any carriers injected from the emitter have ample opportunity to make collisions, with the result that their distribution at the edge of the emitter/base space-charge region is of a near- equilibrium form. It is this characteristic that allowed us to use Shockley’s Law of the Junction to get n(x dp ), for example. In a modern HBT, the basewidth can be ≈30 nm. To estimate the mean free path for our example from(5.45) we need the electron mobility in GaAs for N A = 6 10 19 cm −3 , and the mean unidirectional velocity for injection froman emitter with N D = 3 10 17 cm −3 . From Fig. 5.3 we find j e ≈0.075 m 2 (Vs) −1 , and from Table 4.2 we get : R ≈ 10 5 ms −1 . Thus, the mean-free-path length is ≈10 nm. This is insufficient to assume that all carriers injected into the base are ‘thermalized’ by collisions. However, it is reasonable to assume that the electrons injected from the emitter maintain a hemi-Maxwellian distribution through the emitter/base space-charge region. We denote the part of this positive-going (collector-directed) electron distribution that overcomes the barrier as n ∗ E ,2. Further, we recognize this as one-half of the boundary condition n(x dp ) given by Shockley’s Law of the Junction. Thus, n ∗ E 2 = n 0E 2 e −(V bi −V BE ),V th ≡ n 0B 2 e V BE ,V th . (9.2) where n 0E and n 0B are the equilibrium electron concentrations in the emitter and base, respectively. 9.2 Collector current Our intention is to obtain an expression for the collector current I C in terms of the transistor’s main controlling voltage V aj ≈ V BE . We do this by considering electron flow in the base. The portion of the base between the junction space-charge regions is called the quasi-neutral base: it is essentially field-free, so we can assume reasonably safely that any minority-carrier currents therein are due to diffusion. This transport mechanism is fuelled by carrier concentration gradients, so we need to know the electron profile in the quasi-neutral base. This can be done by combining the equations for electron transport and electron continuity from our master set of equations (5.24). Under the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 9.2 Collector current 157 10 16 10 17 10 18 10 19 10 20 10 −6 10 −5 10 −4 10 −3 10 −2 10 −1 Doping (cm −3 ) D i f f u s i o n l e n g t h ( c m ) Figure 9.3 Diffusion lengths for minority carrier electrons (solid line) and holes (dashed line) in gallium arsenide. Computed from data on the doping density dependence of j and τ from (5.31) and (3.22), respectively. drift-diffusion approximation and in steady-state, these yield d 2 n dx 2 − n −n 0B L 2 e = 0 . (9.3) where L e is the electron minority-carrier diffusion length. This equation is the same as appeared in our analysis of the ideal diode (6.31), but its solution here will be different because of the different boundary conditions. The minority-carrier diffusion length can be thought of as a measure of the distance a minority carrier diffuses before it recombines with a majority carrier. Typical values for GaAs are shown in Fig. 9.3, and can be evaluated using the diffusivity from (5.31) and the Einstein Relation, and the minority-carrier lifetime from (3.22). If the quasi-neutral basewidth W B in an Npn HBTis much less than L e , then essentially no recombination takes place in the base. Under these circumstances, (9.3) reduces to d 2 n dx 2 = 0 . (9.4) i.e., the electron diffusion current J e = q D e dn,dx is a constant. Having a short base is important practically, as it leads to a high I C and, as explained in the high-frequency chapter, to good high-frequency performance. Here, we consider this case: it allows us to compute J e if we can find the electron concentration at any two points in the base. We will attempt to do this for the points at either end of the quasi-neutral base, x dp and x dp ÷ W B in Fig. 9.4. The forward bias lowers the energy barrier at the emitter/base junction, facilitat- ing injection of electrons from the emitter into the base. These electrons are drawn from the positive-going hemi-Maxwellian at x = −x dn , which is the other end of the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 158 9 HBT basics 0 COLLECTOR BASE EMITTER E l e c t r o n e n e r g y (E) F E F (C) E + B W dp x x (x) V E (x) C E x dn −x dp Figure 9.4 HBT in the active mode of operation (V BE > 0. V BC - 0). space-charge region in the depletion approximation. The total width of the depletion region is W = x dn ÷ x dp . Let us assume that W is less than a mean-free path for electrons. 2 Under these conditions, the right-going part of the electron distribution at x = x dp , as illustrated in Fig. 9.4, is given by (9.2). As these electrons enter into the base they will scatter, and some will be re-directed towards the emitter, thus contributing to the left-going concentration at x = x dp . Another contribution to this left-going dis- tribution will come from electrons injected into the base from the collector. There will not be many of these electrons because the reverse bias at the collector/base junction increases the barrier therein. However, we will allow for some to be present, and some of them, after scattering and reaching x = x dp will be moving to the left. Let n L represent the total, left-going distribution of electrons at x = x dp . The situation is illustrated in Fig. 9.4. Thus n(x dp ) = n ∗ E 2 ÷n L . (9.5) Further, let us assume that the scattering events have reduced n L to a hemi-Maxwellian distribution. Therefore, the electron current density at x = x dp is J e (x dp ) = −q n ∗ E 2 2: R −(−qn L 2: R ) . (9.6) Equation (9.6) can be used to eliminate n L from (9.5), yielding the boundary condition n(x dp ) = n ∗ E ÷ J e (x dp ) q2: R . (9.7) Note that this expression reduces to Shockley’s Law of the Junction (6.29) for either low currents or very high : R . In a completely analogous way, the boundary condition at the 2 This is a good assumption, at least for forward bias, as can be verified by doing Exercise 9.1. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 9.2 Collector current 159 other end of the quasi-neutral base can be written n(x dp ÷ W B ) = n ∗ C − J e (x dp ÷ W B ) q2: R . (9.8) where n ∗ C ,2 is the concentration of electrons in the collector with enough left-directed kinetic energy to surmount the collector/base barrier, i.e., n ∗ C 2 = n 0B 2 e V BC ,V th . (9.9) We now have two carrier concentrations, at points separated by a known distance, thus J e = q D e _ n(x dp ÷ W B ) −n(x dp ) ¸ ,W B . (9.10) Substituting for the carrier concentrations from (9.7) and (9.8), we obtain J e = −qn 0B _ e V BE ,V th −e V BC ,V th ¸ . 1 W B D e ÷ 1 : R . (9.11) This equation has been ordered to highlight the charge and velocity terms. The reciprocal of the latter is the sum of two reciprocal velocities, or ‘slownesses’. If the ‘diffusion velocity’ D e ,W B is much less than the ‘injection’ velocity : R , then the process of diffusion limits the current. This used to be the case in practical bipolar transistors, but fabrication techniques have improved to the point where basewidths of -50 nm are routinely employed, in which cases it becomes necessary to include the 1,: R term, otherwise the current would be overestimated, and would not be asymptotic to its ballistic limit of −q(n ∗ E ,2)2: R . This is illustrated in Fig. 9.5. The electron current exiting the collector can be viewed as a positive charge flow into the collector from the external circuit: IEEE convention deems this to be a positive current, therefore I C ≡ −J e A ≡ I S _ e V BE ,V th −e V BC ,V th ¸ . (9.12) where A is the cross-sectional area, and I S collects together the non-exponential terms from (9.11) and is the transistor equivalent to the ideal diode saturation current of (6.37). Transistor action in the HBT is exemplified by the plot of J C shown in Fig. 9.6. The controlling voltage of the base/emitter junction is V BE , and the voltage across the other junction V BC is embedded in V CE = V CB ÷ V BE ≡ −V BC ÷ V BE . Note the similarity of this set of curves, which constitute the collector current characteristic, with the drain current characteristic shown in the MOSFET chapter. There are some subtle differences, though. Firstly, there is not really a linear region at low collector/emitter bias. This is because conduction is never resistive, but is always diffusive (except in the ballistic case). Secondly, we have produced a characteristic without recourse to a threshold voltage, as is invoked in some MOSFET models to define the ON condition. In bipolar transistors, I C depends exponentially on the controlling voltage V BE , so it is arbitrary where the ON P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 160 9 HBT basics 10 1 10 2 10 3 0 1 2 3 4 5 6 7 8 9 10 × 10 4 W B (nm) J C ( A c m − 2 ) Figure 9.5 Collector current density vs. basewidth, showing the effect of including the velocity-boundary condition : R (solid line), and of neglecting to include : R (dashed line). The parameters for the InGaP/GaAs device are: N E = 3 10 17 cm −3 , N B = 6 10 19 cm −3 , V BE = 1.4 V, V CE = 3 V. 0 0.5 1 1.5 2 2.5 3 0 0.5 1 1.5 2 2.5 3 3.5 4 V BE = 1.40 V V BE = 1.39 V V BE = 1.37 V V BE = 1.34 V × 10 V CE (V) J C ( A c m − 2 ) Figure 9.6 Collector current characteristic with V BE as a parameter. The parameters for the InGaP/GaAs device are: N E = 3 10 17 cm −3 , N B = 6 10 19 cm −3 , W B = 30 nm. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 9.3 Base current 161 condition is deemed to begin. 3 Often in books on electronic circuits a turn-on voltage is specified for a bipolar transistor, and is usually taken to be V BE = 0.7 V for a Si BJT. For HBTs made from wider bandgap materials, a larger value is appropriate. In the description of the derivation of (9.11) the base/collector was mentioned as being reverse biased. However, the mathematics is quite general, and the equation applies for both forward- and reverse-bias of the base/collector junction and, indeed, to both bias modes for the base/emitter junction. To appreciate the effect of forward biasing the collector/base junction, pick a curve on Fig. 9.6 and begin at large V CE , i.e., when V CB is positive, meaning that the collector/base n,p junction is reverse biased. Now, stay on the curve (fixed V BE ) and note that I C does not change as the reverse bias on the collector/base junction is reduced. This is because the electrons injected into the base from the emitter are easily collected by the favourable electric field in the base/collector space-charge region. However, there comes a point as V CE is reduced when the collector/base junction becomes forward biased; this happens when V CB - 0, i.e., when V CE - V BE . The collector now starts to inject electrons into the base, and this flow counters the flow from the emitter. The flow from the collector increases exponentially with V BC , so I C decreases drastically. Confusingly, this region where both junctions are forward biased is called the saturation region, and the region where I C is actually constant, i.e., when the base/emitter junction is forward biased and the collector/base junction is reverse biased, is called the active region. 4 To complete the description of the operating modes: when both junctions are reverse biased the HBT is said to be cut-off. Our treatment has focused on the normal mode of operation. If the transistor were to be operated with the actual collector being used as the emitter, then that would be the inverse mode of operation. Such a distinction is not made in the case of the MOSFET because in that device the source and drain are usually identical physically. 9.3 Base current In the MOSFET the presence of the gate oxide means that we don’t have to be concerned with the DCcurrent at the controlling electrode. In a bipolar junction transistor, however, there is a DC current at the controlling base electrode (see Fig. 9.7). Holes flow into the base to: (i) replenish holes lost to recombination with electrons in the base; (ii) replenish holes lost to recombination with electrons in the base-emitter space-charge region; (iii) supply any hole current across the reverse-biased base/collector junction; (iv) supply the hole current due to injection of holes into the emitter across the forward-biased base- emitter junction. We have already given reasons for ignoring recombination in the base, but only for the purpose of computing the collector current. In other words, we have said 3 In a MOSFET, I D depends exponentially on the surface potential ψ s , but the latter is not always linearly related to the controlling voltage V GS , as we describe in Chap. 13. 4 The reason why ‘saturation’ is used to describe a region in which I C is not constant is explained in Section 13.2. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 162 9 HBT basics EMITTER COLLECTOR BASE 0 (iv) −x E −W dn −x dn +W B x (iii) (ii) (i) E l e c t r o n e n e r g y (E) F E F (C) E (x) l E bi,BC ) CB + V −q(V bi,BE ) EB + V −q(V x dp x dp 0 E (x) V E (x) C E Figure 9.7 The various mechanisms of hole current in an HBT in the active mode of operation. that any recombination current in the base is small compared to the collector current. This does not mean that any recombination current is small compared to the base current, which, in a well-designed transistor is much lower than I C . We calculate this portion of I B in the next subsection. Regarding mechanism (ii), there will be recombination in the forward-biased base/emitter space-charge region because it is a region where there are large num- bers of both electrons and holes. Any current due to this recombination will show up in the emitter and base leads at low V BE , when the base/emitter barrier is high and there is little net hole injection into the quasi-neutral emitter. However, at moderate forward bias, this injection current, which is mechanism (iv), becomes large and dominates over the space-charge region recombination current. Here, we will ignore the latter. Also, the reverse-bias current of mechanism (iii) can be safely neglected. The remaining mechanism (iv), the injection of holes into the emitter, is treated in Section 9.3.2. 9.3.1 Recombination in the base Mechanism (i) in Fig. 9.7 is due to recombination in the base, and can be computed from the electron continuity equation in the master set of equations (5.24) J e.rec = _ J e (x dp ÷W B ) J e (x dp ) d J e = q _ x dp ÷W B x dp n B (x) −n 0B τ e dx . (9.13) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 9.3 Base current 163 where n B is the spatially varying electron concentration in the base. Assuming the linear profile used in deriving the earlier expression for I C , we have 5 n B (x) = n(x dp ) − _ n(x dp ) −n(x dp ÷ W B ) ¸ (x,W B ) = _ n ∗ E ÷ J e (x dp ) q2: R __ 1 − x W B _ ÷ _ n ∗ C − J e (x dp ÷ W B ) q2: R _ x W B . (9.14) Substituting into (9.13), integrating, re-arranging, and converting to current, yields I B.rec = Aqn 0B _ (e V BE ,V th −1) ÷(e V BC ,V th −1) ¸ _ 1 2τ e W B ÷ 1 2: R _ . (9.15) where A is the cross-sectional area of the emitter: in our one-dimensional model, it is the same area as used for evaluating the collector current from (9.11). The effective velocity in this case is the term within the curly brackets: it reduces to the usual expression of W B ,2τ e when : R is large. 9.3.2 Hole injection into the emitter The region of interest is that of the quasi-neutral emitter, (−W E − x dn ) - x - −x dn , as shown in Fig. 9.7, and we are concerned with the hole current J h in the emitter due to hole injection over the base/emitter barrier into the quasi-neutral emitter. The emitter is usually much wider than the base so recombination of the injected holes must be considered. Thus, from the equations for the hole diffusion current and the hole charge continuity in the drift-diffusion approximation we get d 2 p dx 2 − p − p 0E L 2 h = 0 . (9.16) where p 0E is the equilibrium hole concentration in the emitter, and L h is the hole minority carrier diffusion length in the emitter. Aconvenient formof the general solution to (9.16) is p(x) − p 0E = B cosh x L h ÷C sinh x L h . (9.17) Because the emitter length may be greater than L h , we cannot ignore recombination of the injected holes in the quasi-neutral emitter. However, the relatively long length of the emitter means that there is no need to bound the carrier velocity as we did for J e in the base by including the thermal velocity 2: R in the boundary conditions. Thus, the boundary condition for the hole concentration at the emitter-edge of the depletion region follows without modification from Shockley’s Law of the Junction p(−x dn ) = p 0E e V BE ,V th . (9.18) 5 Assuming a linear profile, which results from having no recombination, and then using that profile to estimate recombination by allowing for a finite value of τ B is permissible so long as there is not much recombination, i.e., L e > W B (see Exercise 9.6). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 164 9 HBT basics At the other end of the emitter we assume an ohmic contact, thus p(−x dn − W E ) = p 0E . (9.19) To simplify the algebra, let us use the length variable x / in place of x, where x / = x ÷ x dn . Thus, the boundary conditions yield values for the constants B = p 0E (e V BE ,V th −1) C = −p 0E (e V BE ,V th −1) coth −W E L h . (9.20) The hole current density is J h (x / ) = −q D h dp dx / = −q D h L h _ B sinh x / L h ÷C cosh x / L h _ . (9.21) Substituting for the constants B and C, the hole current in the emitter at the edge of the depletion region is J h (−x dn ) A = −q A D h L h p 0E (e V BE ,V th −1) coth W E L h . (9.22) where A is the emitter area. 6 Assuming no recombination in the depletion region, then J h (−x dn ) A is also the hole current on the base side of the depletion region. We label this as I B.inj ; thus, it can be added to I B.rec to get the total base current. The two components of I B are plotted in Fig. 9.8 for the case of a InGaP/GaAs HBT with W E = 159 nm and W B = 30 nm. Even for such a short-base device, the recombination component of base current is much more significant than the so-called back-injection hole current. This is a testament to the formidable reflecting action of the large hole barrier, which is engineered by choosing materials for the heterojunction with a large valence-band offset. Operation of the transistor in the saturation regime would increase the importance of I B.rec , due to the influx of electrons from the forward- biased collector/base junction. Also shown in the figure is the collector current; plotted in this way it shows the transfer characteristic of the device. A semi-logarithmic plot of collector and base currents vs. V BE is known as a Gummel plot, after H.K. Gummel, a pioneer in the field of semiconductor device modelling. 9.4 DC equivalent-circuit model The DC equivalent-circuit model of the HBT must represent the collector current, (9.11) and (9.12), and the base current, (9.15) and (9.22). The circuit of Fig. 9.9 does this, and also includes the base current due to injection of holes into the collector. The latter is mechanism (iii) from Section 9.3, and it is described by an expression similar to (9.22). Note that, in the equivalent circuit, the base recombination term has been separated into 6 The minus sign in this case arises because the hole flow we are considering is in the negative x direction. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 9.4 DC equivalent-circuit model 165 1 1.1 1.2 1.3 1.4 10 10 10 −10 −5 0 10 5 V BE (V) J C a n d J B ( A c m − 2 ) Figure 9.8 J-V plots for an HBT showing the collector current density (solid line), and two components of the base current density: J B.rec (dashed line) and J B.inj (stippled line). The parameters for this InGaP/GaAs device are: N E = 3 10 17 cm −3 , N B = 6 10 19 cm −3 , W E = 150 nm, W B = 30 nm, V CE = 3 V, and the minority carrier properties are as per Fig. 9.3. E C I S (e V BE / V th − e V BC / V th ) B R B I (V BE ) B I B, rec I (V BE ) B, inj I (V BC ) B, inj I (V BC ) B, rec E R C R C I E I Figure 9.9 HBT DC equivalent circuit. The current source is from (9.11), with I S representing the non-exponent part of that equation. Hole injection from the base into the emitter is given by (9.22) and is represented by the diode I B.inj (V BE ). Hole injection into the collector is given by a similar expression (replace E with C and use the correct, doping-density-dependent values for D h and L h ), and is represented by the diode I B.inj (V BC ). The other two diodes represent recombination in the base of electrons injected from the emitter and the collector, as described by (9.15). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 166 9 HBT basics two diode-like terms, one associated with each junction, thereby emphasizing the origin of the electrons in the base, with which the holes recombine. Resistors are also shown to represent the resistances of the various quasi-neutral and access regions. 7 An often-used metric for a bipolar transistor is the DC, common-emitter current gain β 0 . In this configuration, the emitter lead is common to the input- and output-pairs of terminals, so that the controlling parameter is the base current. Thus β 0 = I C ,I B . (9.23) In the active mode of operation the upper two diodes in the equivalent circuit can be neglected, and the current gain can be simply expressed in a constant, bias-independent form, using (9.11), (9.22) and the relevant part of (9.15). Thus, if the parameter in Fig. 9.6 were I B rather than V BE , then a family of curves with equal base steps would show equally spaced collector currents in the active region. In the common-base connection the input current is the emitter current and the DC, common-base current gain is given by α 0 = I C ,[I E [ . (9.24) A typical value might be α 0 = 0.99, which would yield a transistor with a β 0 that was 100 times larger. Exercises 9.1 Consider an Np ÷ n, Al 0.3 Ga 0.7 As/GaAs HBT, for which the emitter and base doping densities are 5 10 17 cm −3 and 1 10 19 cm −3 , respectively. The HBT is operating in the active mode with a forward bias of 1.4 V. (a) Calculate the width of the space-charge region W at the emitter/base junction using the Depletion Approximation. (b) Estimate the mean-free-path length ¯ l for electrons, and determine if it is reasonable to assume that there is no scattering in the junction space-charge region. 9.2 Consider an npn GaAs BJT operating in the active mode with V BE = 1.25 V and V BC = −3.0 V. The emitter doping density is 10 18 cm −3 and the width of the emitter quasi-neutral region is 100 nm. The corresponding values for the base are 10 19 cm −3 and 25 nm. Estimate β 0 , and show your calculations of the relevant currents. 9.3 Make the above BJT into an Npn HBT by changing the emitter to lattice-matched InGaP, i.e., In 0.49 Ga 0.51 P (see Fig. 8.3). The minority carrier properties of the InGaP can be taken to be the same as for correspondingly doped GaAs. Estimate β 0 . 7 See Section 14.6.1 for details on how to estimate R B , which is particularly important in high-frequency applications. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 167 9.4 Compare the values of β 0 from the previous two questions and state the principal reason(s) for their large difference. 9.5 Besides the improvement in current gain, the HBT offers a much reduced base resistivity, which is very important in high-frequency devices. Re-visit Exercise 9.2 and adjust the base doping density so that this BJT yields a similar β 0 to the HBT of Exercise 9.3. Compare the base resistivities of the BJT and HBT. 9.6 In Section 9.3.1, the base recombination current was computed from the seemingly inconsistent approximation of a linear profile for the minority carrier electrons. If this approximation is not made, but Shockley boundary conditions are assumed, i.e., : R →∞, then the base current is easily shown to be [2] I B.rec = Aqn 0B _ (e V BE ,V th −1) ÷(e V BC ,V th −1) ¸ · D e L e _ coth(W B ,L e ) − 1 sinh(W B ,L e ) _ . (9.25) (a) How short does the quasi-neutral base have to be, relative to the electron diffusion length, for this equation to be adequately approximated by (9.15)? (b) Is this condition satisfied in the HBT of Exercise 9.3? 9.7 Consider an Np ÷ n, Al 0.3 Ga 0.7 As/GaAs HBT under forward bias. (a) Using Fig. 6.9a as a guide, sketch the energy-band diagramfor the emitter/base part of this Type I HBT. Note that the electron energy barrier is parabolic, and that tunnelling from the emitter to the base is possible. (b) To derive an expression for the transmission probability, start with (5.57), which is an approximate expression for T(E) for a non-rectangular barrier. Apply this equation to the parabolic barrier of height qV N on the N-side of the junction, and show that T(E) = e −γ . (9.26) where γ = qV N E 0 _ √ 1 − X − X ln _ 1 ÷ √ 1 − X √ X __ . E 0 = (q,2) _ N D ,(m 1 c 1 ). and X = E,qV N . 9.8 Consider an Np ÷ n, Al 0.3 Ga 0.7 As/GaAs HBT, for which the emitter and base doping densities are 5 10 17 cm −3 and 1 10 19 cm −3 , respectively. The HBT is operating in the active mode with a forward bias of 1.4 V. (a) Use (9.26) to obtain a plot of T(E) vs. E,qV N within the energy range for tunnelling, i.e., from Fig. 6.9a, −χ p ≤ E ≤ −χ N −qV bi ÷qV N . P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 168 9 HBT basics (b) Nowestimate the spectral carrier density n(E) = g(E) f (E) of electrons avail- able for tunnelling. Use the Maxwell-Boltzmann distribution function, and display your result graphically. (c) The spectral tunnelling flux is n(E)T(E): plot this against the normalized energy E,qV N . The result should show that the peak tunnelling flux occurs at an energy of ≈0.75qV N . References [1] D.L. Pulfrey, Heterojunction Bipolar Transistor, Wiley Encyclopedia of Electrical and Elec- tronics Engineering, J.G. Webster, Ed., John Wiley & Sons, Inc., vol. 8, 690–706, 1999. [2] D.L. Pulfrey and N.G. Tarr, Introduction to Microelectronic Devices, p. 348, Prentice-Hall, 1989. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10 MOSFET basics The MOSFET 1 was the subject of a patent in 1933 [1], but did not reach commercial maturity until about thirty years later. The delay was principally due to a lack of under- standing of the importance of the oxide/semiconductor interface, and to the time taken to develop suitable fabrication procedures, notably for the growth of the thin gate oxide. Now, in the early 21st century, the science of silicon, and the art and technology of its processing into electronic devices have reached such a state of maturity that billions of Si MOSFETs are made weekly. The claim that the Si MOSFET is the most abundant object made by mankind is difficult to refute [2]. In this chapter the so-called ‘long-channel’ FET is considered. The basic electrostat- ics of the device is developed, and the DC current-voltage characteristics are derived using two models that are very widely used in the simulation of Si MOSFET integrated circuits: PSP and SPICE. PSP stands for ‘Penn-State Philips’, after the two organiza- tions that have been largely instrumental in bringing this surface-potential model to a state of commercial viability [3]. It is the Compact Model Council’s new, industrial- standard, MOSFET model. 2 SPICE stands for ‘Simulation Program with Integrated Circuit Emphasis’. It was originally developed by Lawrence Nagel at the University of California at Berkeley in the mid-1970s, and has evolved extensively since then [4]. PSP is surface-potential based, whereas SPICE is threshold-voltage based. Here, we start with the more general and accurate surface-potential model, and then we show how the threshold-voltage model is derived from it. The material in this chapter provides the basis for understanding how the MOSFET has developed into today’s dominant digital transistor, as described in Chapter 13. Our treatment draws heavily on the classic work of Tsividis [5]. 10.1 Transfer characteristic A typical Si MOSFET is shown in Fig. 10.1. The particular transistor shown has two np-junctions, with the highly doped (n ÷ ) regions being called the drain and the source. 1 Metal-Oxide Field-Effect Transistor. In this acronym ‘M’ literally stands for metal, but it is also used for any highly conductive gate material, e.g., heavily doped polycrystalline silicon, as is still used for most Si MOSFETs, although there is nowa return to metal gates in very high-performance devices (see Chapter 13). 2 Compact Model Council: http://www.geia.org/Standard-Models-and-Downloads 169 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 170 10 MOSFET basics (b) (a) ox t Source + n Drain + n D G S B p Body x y L Oxide p Body Gate x y Z L Oxide Contact + p j y ox t Source + n Drain + n Figure 10.1 Basic MOSFET structure. Note the orientation of the axes x and y. (a) Actual arrangement, showing the body contact on the top surface, and defining the length L and width Z of the channel, the thickness t ox of the oxide, and the depth y j of the source and drain np-junctions. (b) 2-D representation with the body contact on the bottom. The p-region is called the body or the substrate. The separation between the source and drain regions is the defining physical metric; values less than 50 nm are achievable today. 3 We will show how the drain current I D is due to the flow of electrons from the source to the drain. The conductivity type of the carriers leads to this device being called an n-channel MOSFET, or an N-FET. A complementary P-FET can be real- ized by reversing the doping type of each region. Only the N-FET will be discussed here. Strictly speaking, the MOSFET is a four-terminal device. For the moment, let us assume that no voltage is applied between the source and the body, i.e., V SB = 0. Application of voltage between the gate and the body V GB (≡V GS in this case), for a 3 To attempt to comprehend such a small size, realize that the diameter of a human hair is about 2000 times greater. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.1 Transfer characteristic 171 0 0.2 0.4 0.6 0.8 1 10 −9 10 −8 10 −7 10 −6 10 −5 10 −4 10 −3 V GS (V) I D ( A µ m − 1 ) V DS = 0.1 V V T Figure 10.2 Transfer (or gate) characteristic at V DS = 0.1 V for a CMOS90 NFET with the properties listed in Appendix C. The threshold voltage is 0.24 V. MEDICI (Synopsys) simulation using the DDE version of (5.24). given drain-source voltage V DS > 0, leads to the transfer- or gate-characteristic shown in Fig. 10.2. Note how the drain current I D increases exponentially at first, and then less strongly as V GB is increased. The sequence of events that causes this behaviour is: r the positive V GB repels holes from the substrate close to the oxide/semiconductor interface (also known as the surface). This creates a space-charge layer, across which, in the y-direction, some of V GB is dropped; r this potential in the top part of the body also causes a voltage drop across the depleted body/source pn-junction, i.e., in the x-direction. This forward biases the source/body diode and electrons are injected into the body; r the electron injection is heaviest at the surface because this is where the potential in the body is highest. Thus, the electrons form a thin channel at the surface. In accordance with standard pn-junction theory (6.29), this charge increases exponentially with applied bias; r however, eventually, this electron charge becomes so dense that it electrostatically screens the body from the gate. Further increases in V GB are absorbed almost entirely in the oxide, and the exponential relationship between channel charge and gate bias is lost. Don’t be misled by the above into thinking that the basic, exponential, I-V relationship of a forward-biased diode no longer applies at high gate bias. It is just that V GB is no longer the operative bias. The relevant bias for the forward-biased source/channel diode is (ψ s − V S ), where ψ s is the surface potential in the body, and V S is the source potential, which we’ll take to be zero here. I D is still related exponentially to ψ s , but the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 172 10 MOSFET basics − + + + + + + + S oxide body − V GB + − − − − − y s y ox Figure 10.3 Representation of the oxide and the electrons and ions in the semiconductor as capacitors, for the purpose of determining the surface potential. latter is no longer nearly equal to V GB . This can be appreciated from Fig. 10.3, which shows the potential-divider action of the series arrangement of the oxide capacitance and the semiconductor capacitance, i.e., Lψ s = LV GB 1 1 ÷C s ,C ox . (10.1) C ox can easily be appreciated by its geometric, parallel-plate, nature; C s is due to the gate-potential-induced changes in charge in the channel LQ n , and in the body LQ b . Writing the sum of these charges as LQ s , the capacitances per unit area, as defined and discussed in Chapter 12, are given here by C ox = − LQ s Lψ ox ≡ c ox t ox and C s = − LQ s Lψ s . (10.2) where ψ ox is the voltage drop across the oxide, c ox and t ox are the permittivity and thickness of the oxide, respectively. Equation (10.1) supports our earlier description of the gate characteristic: at low gate bias, the charge in the semiconductor is small, so C s _C ox , and ψ s changes almost exactly as V GB , giving an exponential relationship between I D and gate bias; at higher bias, C s becomes appreciable due to the increased charge in the channel, and, consequently, the change in ψ s with V GB is much diminished. The electron charge Q n , the movement of which constitutes the current I D , still increases exponentially with ψ s , but no longer exponentially with V GB . The boundary between the exponential and non- exponential regions is gradual, but it is usually associated with the threshold voltage V T . The region V GS - V T is the sub-threshold region, and the drain current at V GS = 0 is called the OFF current. For V GS > V T we have the super-threshold region, and the drain current in this region is often called the ON current. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.2 Electrostatics 173 Channel (inversion layer) charge Q n Gate Depletion region boundary Depletion region charge Q B Individual MOS capacitor element n + Source n + Drain pSi y x Figure 10.4 MOS capacitor resulting from taking a slice through the MOSFET and ignoring the source and drain. From Pulfrey and Tarr [6, Fig. 7.9]. 10.2 Electrostatics 10.2.1 MOS capacitor Consider a slice of the MOS structure from gate to body (see Fig. 10.4); ignore the lateral connections to the source and drain, and imagine a metallic contact to the body on the bottom. Such a two-terminal structure is called a MOS capacitor. The three com- ponents of the structure – gate, insulating oxide, semiconducting body – are represented energetically in Fig. 10.5(a). For specificity, the gate is taken to be heavily doped, n-type polysilicon, for which the Fermi level is coincident with the conduction-band edge, the insulator is silicon dioxide, and the semiconducting body is p-type silicon. To equi- librate the system, the Fermi level in the body must be raised; this is accomplished by transfer of electrons fromthe gate. The transferred electrons recombine with holes in the p-type body, creating a space-charge region of ionized acceptors near to the interface with the oxide. Thus, there is band-bending in this region. The gate acquires a net positive charge due to its loss of electrons. The charge difference across the oxide creates an electric field therein. The total potential differences across the oxide and semiconductor are ψ ox and ψ s , respectively (see Fig. 10.5b). The sum of these two gives the built-in voltage V bi for the MOS capacitor. Inspection of Fig. 10.5b reveals E 0 −qV bi −+ G = E 0 −+ S V bi = + S −+ G q . (10.3) where + G and + S are the work functions of the gate and the semiconducting body, respectively. Note that the difference in work functions in the case of Fig. 10.5b is such that the semiconductor surface region is effectively less p-type than the rest of the body, i.e., it has assumed a more n-type character. This phenomenon is known as inversion. As the intention is to create a high density of electrons at the surface, it follows that this will be P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 174 10 MOSFET basics y W 0 GATE (a) (b) y 0 E BODY OXIDE GATE FB E FG E E l e c t r o n e n e r g y BODY E l FG E FB E V E C E E 0 = E l V E C E V E V E C E q OXIDE ox −t (y) (y) −q y(y) (y) bi −qV S Φ Φ ox c G ox y q s y Figure 10.5 Band diagrams for the electron-energy variation in the y-direction for the MOS capacitor in Fig. 10.4. For the gate, E FG is taken to be coincident with the conduction-band edge, as would be the case for n ÷ polysilicon. (a) The separated components. (b) The completed band diagram at equilibrium. χ ox is the electron affinity of the oxide, + G and + S are the work functions of the gate and the semiconductor, respectively. achieved at a lower positive applied gate/body voltage than would be the case if there were no band-bending at equilibrium, i.e., if V bi were zero. The no-band-bending condition is called the flat-band condition; it can be achieved in our example by applying a negative potential to the gate (see Fig. 10.6a). Evidently, V f b = −V bi . The electron concentration (per unit volume) in the semiconductor is given by n(y) = n i e (E FB −E Fi (y)),kT ≡ n i e (ψ(y)−φ B ),V th . (10.4) Deep in the body of the device we have n(B) = n i e −φ B ,V th = n 2 i N A . (10.5) where a uniform doping density of acceptors has been assumed and φ B is defined as φ B = 1 q [E Fi (B) − E FB ] . (10.6) These equations can be used to express n in terms of N A : n(y) = N A e (ψ(y)−2φ B ),V th . (10.7) where ψ s ≡ ψ(0) is the potential at the semiconductor surface. Evidently, when ψ s equals 2φ B , then n(0) = N A . This condition which is illustrated in Fig. 10.6b, is defined P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.2 Electrostatics 175 E l y y T BODY OXIDE W −qy(y) (a) (b) 0 0 E GATE BODY OXIDE GATE E l e c t r o n e n e r g y Fi E V E C E ox −t FB E V E Fi E C E G Φ 0 E l = E (y) B f q B f q GB FG E GB −qV −qV FG E S Φ FB E Figure 10.6 (a) Energy-band diagram at flatband: V GB = V f b ≡ −V bi . (b) Energy-band diagram at the onset of strong inversion: ψ s = 2φ B . V GB = V T GB . as the onset of strong inversion in the surface channel of a MOS capacitor. The electron charge per unit area is given by Q n = _ W 0 −qn(y) dy . (10.8) where W is the depth of the space-charge layer that extends from the surface into the body. 10.2.2 MOSFET Now let us consider the effect of contacting the inversion layer via the drain and source regions, between which we apply a voltage V DS . This voltage is dropped along the channel and is the driving force for electron flow from the source to the drain. To recognize the non-equilibriumnature of the situation nowthat charge flowis permissible, a separate electron quasi-Fermi level E Fn is introduced (see Fig. 10.7). The difference in quasi-Fermi levels for electrons at the surface and for holes deep in the body defines the channel/body voltage V CB : −qV CB (x) = E Fn (x) − E FB . (10.9) The presence of a positive V CB reduces the field in the oxide, and increases the potential drop across the depletion layer, as shown in Fig. 10.7. The effect of the former is to reduce the charge on the gate Q G ; and the effect of the latter is to make the body charge Q b more negative. Overall charge neutrality demands, therefore, that the channel charge Q n becomes more positive. Thus, with reference to the two band diagrams in Fig. 10.7, the reduction in channel charge in (b) means that the applied gate/body voltage is no longer sufficient to put the channel in inversion at the point x P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 176 10 MOSFET basics OXIDE BODY GATE E 0 0 (y) y −q W T y l E (b) (a) E l e c t r o n e n e r g y OXIDE BODY GATE qV CB 0 E E C E Fi E E Fn V (y) E FB E C E Fi E V E FB −t ox 0 W y −t ox E FG −qV GB l E(y) E FG −qV GB qf B qf B Figure 10.7 Energy-band diagrams in the y-direction, showing the effect of a channel voltage V CB arising from the application of a drain-source voltage. (a) The threshold condition with V DS = 0. (b) With V DS > 0 and V GB unchanged. under consideration. As x →0, i.e., as the source end of the channel is approached, the effect diminishes. Thus, the electron concentration is a function of x and y, and (10.7) becomes n(x. y) = N A e [ψ(x.y)−2φ B −V CB (x)],V th . (10.10) If we can determine n(x. y), then the drain current should easily follow, using the Drift- Diffusion Equation, for example. The following Sections 10.3 and 10.4 describe two approaches to achieve this goal. 10.3 MOSFET I-V characteristics from the surface-potential model 10.3.1 Surface potential The MOSFET we are considering is assumed to be invariant in the z-direction, so Poisson’s Equation for the body and channel regions is, neglecting hole charge in the body’s space-charge region, ∂ 2 ψ ∂x 2 ÷ ∂ 2 ψ ∂y 2 = qN A c s _ 1 ÷e (ψ−2φ B −V CB ),V th ¸ . (10.11) The controlling field for the charge in a well-designed FET is E y . Recognizing this, and simultaneously allowing a tractable solution to (10.11), we make the Gradual Channel P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.3 MOSFET I-V characteristics from the surface-potential model 177 Approximation: ∂ 2 ψ ∂x 2 _ ∂ 2 ψ ∂y 2 . (10.12) To solve (10.11), multiply both sides of it by ( ∂ψ ∂y )∂y and then integrate from deep in the body, where ψ = 0 (assuming V B , the potential applied to the body terminal, is zero) and ∂ψ,∂y = 0, to the surface, where ψ = ψ s and ∂ψ,∂y = E y (0). The last limit is the field in the semiconductor at the oxide/semiconductor interface. Using this and Gauss’s Law (E y (0) = −Q s ,c s ), we can then get an expression for the charge per unit area in the semiconductor: Q s = − _ 2qc s N A ¸ ψ s ÷ k B T q _ e ψ s ,V th −1 ¸ e −(2φ B ÷V CB ),V th . (10.13) where Q s is a function of x through ψ s (x). As we are working towards an expression for the terminal characteristics of the device, we need to bring in the applied voltages. For V GB we can do this by realizing from Fig. 10.5 and Fig. 10.6 that V GB − V f b = ψ ox (x) ÷ψ s (x) . (10.14) and by applying Gauss’s Law once more to obtain Q s (x) = −Q G (x) = −C ox ψ ox = −C ox _ V GB − V f b −ψ s (x) ¸ . (10.15) where Q G is the charge per unit area on the gate electrode. (10.13) and (10.15) provide an implicit relation between ψ s and V GB : ψ s = V GB − V f b −γ ¸ ψ s ÷ k B T q _ e ψ s ,V th −1 ¸ e −(2φ B ÷V CB ),V th . (10.16) where γ = √ 2qc s N A ,C ox is called the body factor. 4 The x-dependence of ψ s enters through the channel/body voltage V CB (x). Before examining how ψ s changes with V GB , let us use the surface potential to define the state of inversion in the channel of the MOSFET. Here, we take inversion to mean the presence of electrons at the surface, as is required for there to be a drain current. A point x in the channel is in weak inversion when 0 - ψ s (x) - φ B ÷ V CB (x) ; (10.17) a point x in the channel is in moderate inversion when φ B ÷ V CB (x) - ψ s (x) - 2φ B ÷ V CB (x) ; (10.18) a point x in the channel is in strong inversion when 2φ B ÷ V CB (x) - ψ s (x) . (10.19) 4 The name refers to the fact that the term √ 2c s N A comes from consideration of the charge in the body. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 178 10 MOSFET basics 0 0.2 0.4 0.6 0.8 1 0.6 0.8 1 1.2 1.4 1.6 V GB (V) s u r f a c e p o t e n t i a l ( V ) (0) y s y s (L) V DS = 0.25 V V DS = 1.0 V 2f B 2f B + 0.25 V Figure 10.8 Surface potential vs. V GB for a CMOS90 N-FET with parameters listed in Appendix C. Thus, at the onset of moderate inversion n(x. 0) = n i , and at the onset of strong inversion n(x. 0) = N A . These important markers are shown in Fig. 10.8, which is a plot of ψ s vs. V GB at the two ends of the channel: ψ s (y) = ψ s (0) at the source end and ψ s (L) at the drain end. A drain-source voltage is applied, i.e., V SB = 0 and V DB > 0. Note that, for this particular example, V f b is sufficiently negative that the source is in moderate inversion even at V GB = 0. As V GB increases, ψ s follows with a linear correspondence. This is the situation described in Section 10.1 when Q s is so small that C s _C ox , and the capacitor-divider action allows ψ s to track V GB almost perfectly. When ψ s at the source end rises to 2φ B , the electron concentration per unit volume reaches N A at the surface, and the strong-inversion region is entered. Shortly after this, the charge per unit area in the semiconductor (Q n ÷ Q b ) is sufficiently large (negatively) that C s becomes comparable to C ox . As the surface concentration of electrons increases exponentially with ψ s (due to injection across the source/body np-junction), it is not long before C s becomes much larger than C ox , leading to near-saturation of ψ s (0). Electrostatically, the near-saturation of ψ s (0) occurs because the body becomes shielded, or screened, from the gate by the large negative charge Q n in the channel, i.e., field lines from any new charge on the gate, due to any increase in V GB , terminate almost entirely on the electron charge in the channel. Thus, there is barely any further change in the depletion layer at the source-end of the channel. Turning now to the drain-end of the channel, Fig. 10.8 shows the situation for two values of V DS . When V DS = 0.25 V, it can be seen clearly that strong inversion at the drain is not reached until ψ s (L) > 2φ B ÷ V DS , i.e., until the effect of V CB (L) = V DS has been overcome by V GB . The drain-induced potential on the channel reduces the y-directed field in the oxide, and consequently requires that less negative charge be present in the channel. It follows that strong inversion can only be re-established by increasing V GB . Note that, in our particular example, at V DS = 1 V, if V GB is limited to 1 V also, then strong inversion will not be achieved at the drain end of the channel! P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.3 MOSFET I-V characteristics from the surface-potential model 179 10.3.2 Drain current The charge per unit area in the semiconductor comprises electrons near the surface Q n , and ionized acceptors in the depleted region of the body Q b : Q s (x) = Q n (x) ÷ Q b (x) . (10.20) To proceed towards finding the current we need to know Q n , the density per unit area of the mobile charge. To do this we make the Charge Sheet Approximation, i.e., we assume that all the electrons reside in a sheet at the semiconductor surface. The benefit of this is that there would be no voltage drop through such a sheet in the y-direction, so the voltage ψ s − V B , where V B is the potential applied to the body terminal, is dropped entirely across the space-charge region of ionized acceptors. Further, if we make the Depletion Approximation for this region, i.e., neglect the charge due to holes, then Q b is simply Q b (x) = −qN A W(x) = − _ 2c s qN A ψ s (x) . (10.21) where W(x) is the depletion-region width. The charge density per unit area in the channel then follows from (10.15) and (10.20): Q n (x) = −C ox _ V GB − V f b −ψ s (x) −γ _ ψ s (x) _ . (10.22) The electron current density in a 2-D sheet, using the Drift-Diffusion Equation is ¯ J e = Q n ¯ : d − D e dQ n dx . (10.23) and the electron current is I e = Z Q n j e dψ s dx − Z k B T q j e dQ n dx . (10.24) where Z is the width of the transistor, as noted in Fig. 10.1, and we have invoked the non-degenerate form of the Einstein Relation. The flow of electrons in our case is in the positive x-direction inside the device, so the current at the drain is positive, by IEEE convention. 5 Thus _ L 0 I D dx ≡ − _ L 0 I e dx = −Z _ ψ s (L) ψ s (0) j e Q n dψ s ÷ Z k B T q _ Q n (L) Q n (0) j e dQ n . (10.25) Because we have assumed that there is no hole conduction, and that there is current leakage neither to the gate nor to the substrate, I e is constant throughout the device. Thus, the left-hand side of the above equation is simply I D L. On the right-hand side, we recognize that the mobility will be affected by the y-directed electric field, causing scattering at the semiconductor surface where it meets the oxide. Because of this, we call the mobility in the channel the effective mobility, and expect it to be less than the mobility in the bulk of a material with near-perfect crystallinity. At this stage of our model development, we also assume that j eff does not depend upon the x-directed 5 This convention defines a device current as positive when positive charge enters a terminal of the device. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 180 10 MOSFET basics 0 0.2 0.4 0.6 0.8 1 10 −10 10 −8 10 −6 10 −4 I diff I drift V DS = 1 V V GB (V) I D ( A µ m − 1 ) Figure 10.9 MOSFET gate characteristic from the surface potential model. Model parameters as given in Appendix C for a CMOS90 N-FET. electric field, so the drain current can be expressed as I D = Z L j eff __ ψ s (L) ψ s (0) −Q n dψ s ÷ k B T q _ Q n (L) Q n (0) dQ n _ . (10.26) To perform the first integration, use the dependence of Q n on ψ s from (10.22). The second integration is trivial, and, after doing it, substitute for Q n (0) and Q n (L) from (10.22). Both the drift and diffusion currents now become functions of ψ s at the two ends of the channel: I D.drift = Z L j eff C ox _ (V GB − V f b )(ψ s (L) −ψ s (0)) − 1 2 (ψ s (L) 2 −ψ s (0) 2 ) − 2 3 γ _ ψ s (L) 3,2 −ψ s (0) 3,2 _ _ I D.diff = Z L j eff C ox k B T q _ (ψ s (L) −ψ s (0)) ÷γ _ ψ s (L) 1,2 −ψ s (0) 1,2 _¸ . (10.27) where ψ s (L) and ψ s (0) are obtained from (10.16), with V CB = V DB and V SB , respec- tively. Note how each current component would merely change sign if ψ s (L) and ψ s (0) were reversed. This symmetry is to be expected because of the geometrical symmetry of the FET. Examples of the current fromthis model are shown in Fig. 10.9 for the case of varying V GB , which produces the gate characteristic, and in Fig. 10.10 for the case of varying V DB , which produces the drain characteristic. The gate characteristic is like the one shown in Fig. 10.2. The initial exponential form of the curve, and then the ‘flattening out’, were explained in Section 10.1. The new information in Fig. 10.9 is the dominance of the diffusion current in the ‘exponential’ regime, and the dominance of drift at higher V GB . Drift gains importance over diffusion as the field E y strengthens with V GB , and makes for a more uniform electron distribution along the channel, i.e., in the x-direction. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.3 MOSFET I-V characteristics from the surface-potential model 181 0 0.2 0.4 0.6 0.8 1 0 1 2 3 4 5 6 × 10 −4 V GS = 1.0 V V GS = 0.75 V V GS = 0.5 V V DS (V) I D ( A µ m − 1 ) Figure 10.10 MOSFET drain characteristic from the surface potential model. Note: V SB = 0, so that V GS ≡ V GB and V DS ≡ V DB . Model parameters as given in Appendix C for a CMOS90 N-FET. The drain characteristic is shown on a linear-linear plot for three values of V GS corresponding to the non-exponential part of the gate characteristic. Initially, the I D − V DS relation is essentially linear: V CB is small everywhere, the channel is in strong inversion along its entire length, Q n does not vary much with x. In other words, the channel behaves like a resistor. As V DB increases, strong inversion is lost progressively towards the drain, until the drain-end becomes moderately or weakly inverted (see Fig. 10.8). Under these conditions, Q n near x = L is so small that the field due to the gate potential terminates almost entirely on the ionic charge in the body, i.e., V CB in this region no longer affects the surface potential. Thus, the latter becomes solely determined by V GB . This region of strong correlation between ψ s and V GB is evident in the near-linear portion of Fig. 10.8. An estimate of the relation can be obtained by setting Q n = 0 in (10.22) ψ(L) ≡ ψ s (Q n = 0) = _ − γ 2 ÷ _ γ 2 4 ÷ V GB − V f b _ 2 ≈ V GB − V f b . (10.28) where the approximate form applies if (V GB − V f b ) ¸γ 2 ,4. Under these circum- stances, the overall potential drop along the channel in the x-direction reaches its maximum value, i.e., ψ s (L) −ψ s (0) ≈ V GB − V f b −2φ B − V SB , assuming that the source-end of the channel is in strong inversion. Thus, the driving force for the drain current reaches its maximum value and, consequently, I D saturates. To put it another way, the drain is ‘sinking’ all the charge that the source can supply for a given V GB . 6 6 This behaviour is characteristic of a long-channel device. In Chapter 13 we consider short-channel devices in which L is so small that V DB also affects ψ s (0); this influences I D and is undesirable because it is the drain’s job merely to sink the charge, not to control its flow: that is the gate’s job. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 182 10 MOSFET basics 10.3.3 Pinch-off and channel-length modulation Two cautions are issued about the above description of saturation of I D . Firstly, note that Q n can never equal zero if current is to be maintained, because some electrons are needed to carry the charge. The condition for saturation of I D is not really Q n (L) = 0, but rather [Q n (L)[ _[Q b (L)[. Thus, the channel never pinches off completely. Secondly, as V DB increases beyond the value at which [Q n (L)[ _[Q b (L)[, then the condition [Q n (x)[ _[Q b (x)[ occurs at x values closer to the source. This is as though the effective channel length of the device were decreasing. This has the effect of increasing the drain current; the phenomenon is known as channel-length modulation. In modern devices, e.g., in which L ≤ 100 nm, the effect is masked by the short-channel effect, which we will discuss at length in Chapter 13. 10.4 MOSFET I-V characteristics from the strong-inversion, source-referenced model The next MOSFET model to be described is used in SPICE, and is the one that is most frequently featured in textbooks. It is not as accurate as the surface-potential model, nor does it preserve the symmetry of the FET’s characteristics, nor does it provide a single expression that describes the drain current in all regimes of operation. However, it is completely analytical in nature, and yields useful equations for circuit- simulation purposes. However, as noted earlier, the surface-potential model has recently been adopted by CMC as the industry standard. 7 The computational burden of the surface-potential model has been lessened by the advent of faster computers, and any disadvantage it still has in this regard is considered by some to be outweighed by its greater accuracy. In this section we derive the strong-inversion, source-referenced model as a rather gross simplification of the surface-potential model. We also use it to introduce the effect of a field-dependent mobility on the drain current. 10.4.1 Basic assumptions of the model The model has ‘strong inversion’ in its title because it assumes that the surface potential is everywhere at its strong-inversion value, i.e., ψ s (x) = 2φ B ÷ V CB (x) . (10.29) Obviously, this assumption is going to cause the model to breakdown at high V DS , when we have already seen that strong inversion is lost at the drain. The model has ‘source-referenced’ in its title because it refers all potentials to the source, rather than to the body, e.g., V CS (x) = V CB (x) − V SB . (10.30) 7 Compact Model Council, http://www.geia.org/index.asp?bid=597 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.4 MOSFET I-V characteristics 183 This change in reference causes the source/drain symmetry of the device to be lost, but it opens the way for the introduction of a very useful parameter, the threshold voltage. The second assumption of the model is that V CS _2φ B ÷ V SB . (10.31) This just reinforces the notion of strong inversion everywhere in the channel, i.e., the potential in the channel should not be disturbed too much fromits strong-inversion value at the source by the application of a voltage V DS . 10.4.2 Drain current for constant mobility The surface-potential model indicates that the drain current is mainly due to drift when the source is in strong inversion. Accordingly, we start by taking the drift portion of the expression for I D fromthe surface-potential model (10.27). This expression contains the surface potentials at the source- and at the drain-ends of the channel. The implication of the first assumption of the model is that ψ s (0) = 2φ B ÷ V SB ψ s (L) = 2φ B ÷ V DB ψ s (L) −ψ s (0) = V DS ψ s (L) = 2φ B ÷ V SB ÷ V DS . (10.32) The implication of the second assumption of the model is that V DS _2φ B ÷ V SB . (10.33) We make use of this inequality to perform a binomial expansion to the second order of the term ψ 3,2 s in the drift current part of (10.27). The result is a compact expression for the drain current: I D = ZC ox _ V GS − V T −m V DS 2 _ . j eff V DS L . (10.34) where various terms have been collected together to define two useful parameters: the threshold voltage V T = V f b ÷2φ B ÷γ _ 2φ B ÷ V SB . (10.35) and the body-effect coefficient m = 1 ÷ γ 2 √ 2φ B ÷ V SB . (10.36) Note that the expression for the threshold voltage contains no reference to the channel length L, nor to the drain/source bias V DS , i.e., these properties do not influence condi- tions at the source. For this reason, (10.35) is appropriate for FETs that exhibit so-called P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 184 10 MOSFET basics 0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1 × 10 −3 V DS (V) Surface–potential model Threshold–voltage model I D ( A ) Figure 10.11 Drain characteristic: comparison of SPICE Level 1 model and the PSP model. Model parameters as given in Appendix C for a CMOS90 N-FET at V GS = 1 V. ‘long-channel’ behaviour. ‘Short-channel’ effects on the threshold voltage are discussed in Chapter 13. We’ll return to these model parameters later, but now let us focus on Fig. 10.11, in which (10.34) is plotted. Note that for low V DS , when V DS _2(V GS − V T ),m, then (10.34) reduces to the linear relationship of a resistor. At higher V DS the current starts to decrease! This strange behaviour is indicative of the breakdown of the model, and, as could have been anticipated from our earlier discussion, this occurs when strong inversion is lost at the drain. In fact, the value of V DS at which the model breaks down can be determined from Fig. 10.8. Note from this figure that, for a supply voltage of 1 V, the maximum value of ψ s (L) is about 1.58 V. As 2φ B = 0.95 V in our example, strong inversion is lost at the drain when V DS = 0.63 V. In other words, ψ s in Fig. 10.8 would start to flatten-out at V GB = 1 V when V DS = 0.63 V. This is the voltage at which the drain current in Fig. 10.11 reaches its peak. The way that the model copes with its deficiency is to assume that I D stays constant at the value at the top of the curve. Differentiation of (10.34) with respect to V DS indicates that the maximum in current occurs at V DS ≡ V DSsat = V GS − V T m . (10.37) i.e., at V DS = 0.63 V in our example. V DSsat is the saturation voltage. Substituting V DSsat into (10.34) gives an expression for the saturation current I Dsat = Z L C ox j eff (V GS − V T ) 2 2m . (10.38) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.4 MOSFET I-V characteristics 185 0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1 x 10 −3 V DS (V) I D ( A ) Surface–potential model Threshold–voltage model y s (x) = V CB (x) + 2f B Threshold–voltage model y s (x) = V CB (x) + 2f B + 5kT/q Figure 10.12 Drain characteristic: comparison of the PSP model (dashed line) with the SPICE Level 1 model, for both the case of ψ s (0) = 2φ B and for the case of ψ s (0) increased above this value by 5V th . Model parameters as given in Appendix C for a CMOS90 N-FET at V GS = 1 V. This constant-mobility model is often referred to as the square-lawmodel. This equation for the saturation current and (10.34) for the below-saturation region constitute the Level 1 model in SPICE. 10.4.3 Comparison of the surface-potential and SPICE models The drain characteristics for the two models are compared in Fig. 10.12. It can be seen, and also from Fig. 10.11, that the agreement is not very good. This leads us to call into question the validity of the first assumption (10.29). Consider the source-end of the channel, for example. The assumption there is that ψ s (0) = 2φ B ÷ V SB at the onset of strong inversion. Fig. 10.8 shows that this is, indeed, the value at which ψ s starts to increase less strongly with V GB , but it is clearly not the value at which ψ s becomes relatively flat, indicating the screening of the body from the gate voltage by the large charge density in the channel. This does not happen until about 0.1 V later, i.e., until the volumetric charge density of electrons at the surface has become much greater than N A . This observation was made many years ago [7], but seems to have been largely ignored. Tsividis suggested that the surface potential at strong inversion should be raised by about 6V th . Here, in Fig. 10.12, we find that augmenting 2φ B by 5V th , brings the SPICE model into much better agreement with the surface-potential model. 10.4.4 Threshold voltage, body-effect coefficient and channel charge density We now discuss the threshold voltage and the body-effect coefficient, first introduced in Section 10.4.2, and relate them to the charge density in the channel Q n . P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 186 10 MOSFET basics − DB V GB V − body oxide body oxide D D (a) (b) +++ + > 0 + DB V GB V − − − − − − + ++++ = 0 − − Figure 10.13 Illustration of the body effect at the drain end of the channel. The application of V DS > 0 in (b) changes the charge distributions from their values at V DS = 0, as shown in (a). m(L) ≡ [LQ n (L),LQ G (L)[ = 2 in this case. The surface-potential model expresses Q n in (10.22), where the term √ ψ s appears. Applying the second assumption of the SPICE model to this term and expanding it to first-order, the result is Q n (x) = −C ox _ V GS − V f b −2φ B −γ _ 2φ B ÷ V SB −V CS (x) _ 1 ÷ γ 2 √ 2φ B ÷ V SB _ _ . (10.39) where V G has been referenced to the source, using V GS = V GB − V SB . This equation can then be written compactly as Q n (x) = −C ox [V GS − V T −mV CS (x)] . (10.40) At the source-end of the channel Q n (0) = −C ox [V GS − V T ] . (10.41) This leads to the useful interpretation of the threshold voltage as the gate/source voltage at which the channel becomes strongly inverted at the source-end. In light of the discussion at the end of the previous subsection, V T would be even more useful if the occurrences of 2φ B in its expression (10.35) were augmented by 5V th . Turning now to the body-effect coefficient m, recall that it entered into the strong- inversion model during the expansion of the term involving ψ s (0) 3,2 and ψ s (L) 3,2 in the equation for the drift current in the PSP model (10.27). Its appearance in the strong-inversion model is an attempt to include in this model the effect of the body in contributing to the reduction in channel charge Q n when V DS is increased. To appreciate this, consider Fig. 10.13. The left-hand sketch illustrates conditions at the drain end of a FET with V DS = 0, and with the channel in strong inversion everywhere. The portion of the gate that is shown has 4 positive charges; these are complemented by 2 negative charges in the channel and 2 negative charges in the body. The right-hand sketch shows the charge distribution after application of some V DS > 0. The positive potential on the drain has removed the electrons from the drain-end of the channel: one electron flowed P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.4 MOSFET I-V characteristics 187 around the drain/body circuit and ionized another acceptor; the other flowed around the drain/gate circuit and annihilated one positive charge on the gate. In a two-terminal structure, such as a parallel-plate capacitor, there is a one-to-one correspondence between the change in charges on the two plates, which would be represented by Q n and Q G in this case. However, as Fig. 10.13b illustrates, the presence of a third region, the body, causes [Q n [ > Q G , i.e., a loss of channel charge in excess of the corresponding loss of gate charge. This adversely impacts the drain current, and warrants the inclusion of m in the expressions for I D in the strong-inversion model. 8 Mathematically, considering a change in V DS that changes the channel/source voltage from 0 to V CS (x) at some point x in the region shown in Fig. 10.13b, the changes in charge are LQ G (x) = C ox Lψ ox (x) = −C ox V CS (x) LQ b (x) = L(C b (x)V CB (x)) ≈ −C b (x)V CS (x) LQ n (x) = −LQ G −LQ b = (C ox ÷C b (x))V CS (x) ≡ C ox m(x) V CS (x) . (10.42) where an alternative to (10.36) for the definition of m has emerged: 9 m(x) = 1 ÷ C b (x) C ox . (10.43) The ‘bottom line’ here is that m(x) is the ratio of the change in charge in the channel to the change in charge on the gate: m(x) = ¸ ¸ ¸ ¸ LQ n (x) LQ G (x) ¸ ¸ ¸ ¸ . (10.44) Generally, m(x) > 1 because some of LQ n goes to change the charge in the body, e.g., m(L) = 2 in Fig. 10.13. In practice, m is closer to 1 than to 2. 10.4.5 I D when mobility is field-dependent We now remove one of our earlier assumptions by allowing for the dependence of the effective mobility on the longitudinal field in the channel E x . The relationship between the drift velocity and E x is shown in Fig. 5.2, and can be expressed as 1 : d = 1 j eff [E x [ ÷ 1 : sat . (10.45) The drift current can be simply written as the charge times the drift velocity I D = ZC ox [V GS − V T −mV CS (x)] . : d (x). (10.46) 8 Note that m is not explicit in the PSP model because that model takes into account the effect of V DS on Q n via its more exact treatment of the surface potential. 9 It is left as an exercise for the reader to show that the two expressions for m are equivalent. See Exercise 10.3. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 188 10 MOSFET basics 0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1 x 10 −3 V DS (V) I D ( A µ m − 1 ) LEVEL 1 __ LEVEL 49 L = 100 nm L = 1000 nm Figure 10.14 Comparison of the SPICE Level 1 and Level 49 models. The device parameters other than the gate length, which is the parameter here, are listed in Appendix C for a CMOS90 N-FET at V GS = 1 V. Substituting for : d , and using [E x [ = dV CS (x),dx, integration of (10.46) gives I D = ZC ox _ V GS − V T −m V DS 2 _ . j eff V DS L ÷(j eff V DS ,: sat ) . (10.47) As in (10.34), the charge appears as if it is evaluated where V CS = V DS ,2, but the velocity is modified. Clearly, if : sat were infinite, the two equations would be the same. This equation also exhibits a maximum value when the basic assumption of strong inversion breaks down at the drain-end of the channel (see Exercise 10.6). As in the constant-mobility case, the maximum value of I D can be taken as the value for the drain saturation current. This new value of I Dsat is I Dsat = ZC ox (V GS − V T ) . : sat √ 1 ÷2j eff (V GS − V T ),(m: sat L) −1 √ 1 ÷2j eff (V GS − V T ),(m: sat L) ÷1 . (10.48) With respect to I Dsat for the Level 1 model, it can be seen that the charge is still evaluated at x = 0, but the velocity is now limited to a maximum value of : sat . The corresponding new expression for the drain saturation voltage is V DSsat = 2(V GS − V T ),m √ 1 ÷2j eff (V GS − V T ),(m: sat L) ÷1 . (10.49) The set of equations, (10.47), (10.48) and (10.49), constitute the Level 49 model in SPICE. The two models, Level 1 and Level 49, are compared in Fig. 10.14 for two MOSFETs, which differ only in their channel length: 1000 nm vs. 100 nm. The models agree well P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.5 Sub-threshold current 189 in the linear region, where both reduce to (10.50) I D = ZC ox (V GS − V T ) . j eff V DS L . (10.50) In the saturation regime there is good agreement in the long-channel case, but very poor agreement in the shorter-channel case. The disagreement is due to the fact that the Level 1 model uses a linear relationship between velocity and field (: = j eff E x ). This means that at the high values of E x that can arise in the channel of a short-length device, : d can exceed : sat , which is the limiting velocity imposed by Level 49. 10.5 Sub-threshold current In the sub-threshold regime of operation, V GS - V T and the channel is everywhere in weak or moderate inversion. The aimof this section is to link the sub-threshold current to the threshold voltage and to V GS . The resulting expression is used in Chapter 13 to exam- ine two important properties of transistors intended for high-speed logic applications: the ratio of the onset of the ON-current to the OFF-current, and the inverse sub-threshold slope. From (10.13), (10.20) and (10.21) we have Q n = − _ 2qc s N A _ ¸ ψ s ÷ k B T q e (ψ s −2φ B −V CB ),V th − _ ψ s _ . (10.51) In moderate inversion ψ s - (2φ B ÷ V CB ), so the first square-root term in the square brackets can be expanded using the binomial theorem. Keeping terms to first-order yields Q n = − √ 2qc s N A 2 √ ψ s k B T q e (ψ s −2φ B −V CB ),V th . (10.52) In our discussion of the body-effect coefficient we emphasized that, in weak inversion, the surface potential is barely influenced by V CB . This means that there is essentially no field E x , so that the drain current is due to diffusion. Therefore, taking the diffusive part of (10.27), and substituting (10.52), with Q n (0) evaluated at V CB = V SB , and Q n (L) at V CB = V DB gives I D = Z L j eff _ k B T q _ 2 √ 2qc s N A 2 √ ψ s e (ψ s −2φ B ),V th e −V SB ,V th _ 1 −e −V DS ,V th _ . (10.53) Because the dominant effect of ψ s on the current occurs via the exponential term in the numerator, no great loss of accuracy would result from choosing some constant value for √ ψ s in the denominator. Here, we choose the value at the extreme end of the weak- inversion regime (=2φ B ÷ V SB ), because this then allows the term √ 2qc s N A ,(2 √ ψ s ) to be written succinctly as C ox (m −1). To bring in the threshold voltage, consider the linear portion of Fig. 10.8, which shows the gate-bias dependence of ψ s for a particular V SB . Identify a particular surface potential ψ / s with a particular gate-source voltage V / GS , with it being understood that V GS - V T in this weak-inversion condition; and identify P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 190 10 MOSFET basics 0 0.2 0.4 0.6 0.8 1 10 −12 10 −10 10 −8 10 −6 10 −4 V GS (V) I D ( A µ m − 1 ) V DS = 1.0 V Surface–potential model Sub–threshold equation Figure 10.15 Sub-threshold current comparison: (SPICE Level 49 vs. PSP). Model parameters as given in Appendix C for a CMOS90 N-FET. the surface potential 2φ B ÷ V SB with V T . In sub-threshold [Q n [ _[Q b [, so from(10.43) for the body-effect coefficient and (10.1) for the potential-divider action of the oxide and body capacitances, we have dψ s dV GS = 1 m = 2φ B ÷ V SB −ψ / s V T − V / GS . (10.54) Putting this into (10.53) and dropping the prime symbol gives the desired form for the sub-threshold current I D = Z L j eff _ k B T q _ 2 C ox (m −1) e (V GS −V T ),mV th _ 1 −e −V DS ,V th _ . (10.55) This expression brings out the exponential dependence of I D on V GS in the sub-threshold region. This relationship is evident in Fig. 10.2, and also in Fig. 10.15. The latter compares the result from(10.55) with the result fromthe surface-potential model (10.27): evidently the two equations give excellent agreement. 10.6 Applying the long-channel models The models presented in this chapter are appropriate for use with MOSFETs in which long-channel behaviour applies. This does not mean that the transistor is necessarily physically long: it means that the charge and potential at the source end of the channel, from which the current issues, are not influenced by conditions at the drain, i.e., by the drain potential V D . P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 10.7 DC equivalent-circuit model 191 G D S ) , V , V = f ( V D I BS DS GS Figure 10.16 MOSFET DC equivalent circuit. The charge at the source end of the channel in the strong-inversion, source-referenced model is Q n (0) = −C ox (V GS − V T ) . (10.56) where the threshold voltage is given by (10.35), and is independent of V DS . In the surface-potential method, the surface potential at the source-end of the channel is given by (10.16) ψ s (0) = V GB − V f b −γ ¸ ψ s (0) ÷ k B T q _ exp _ ψ s (0) V th _ −1 _ exp −(2φ B ÷ V SB ) V th . (10.57) which is independent of V DB . These equations, and the models from which they are derived, emphasize the control of the critical source conditions by the gate potential V G . In FETs with physically short channels, the proximity of the drain to the source leads to the possibility of some of this control being surrendered to V D . This is undesirable because the threshold voltage, for example, would not then be determined solely by pre-designed physical properties: it would become bias dependent, i.e., it would depend on the operating conditions. To maintain gate control of the channel in FETs that are physically short is one of the challenges faced by designers of modern Si FETs, for which the channel length is -50 nm. The structural and physical changes to FETs that have been introduced to maintain long-channel behaviour in physically short devices are discussed in Chapter 13. The success that has been achieved in this regard means that the models of this chapter, with some modifications, can still be applied to truly short-channel FETs. 10.7 DC equivalent-circuit model The DC equivalent circuit for a MOSFET is very simple if the possibilities of charge flow from the channel to the gate and to the substrate are ignored. These are usu- ally good assumptions to make because the gate oxide, even though it is very thin, is a good insulator, and because the source/substrate n ÷ ,p barrier is much higher P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 192 10 MOSFET basics than the source/channel n ÷ ,n barrier, i.e., the source-to-substrate current path, and the source-to-drain current path through the substrate, are both shorted out when there is an inversion layer present. Thus, the equivalent circuit for the ON condition can be rep- resented as a voltage-controlled current generator (see Fig. 10.16), with the particular I D (V GS . V DS . V BS ) relationship being given by whichever of the expressions for I D and I Dsat given in this chapter is appropriate. Exercises 10.1 A process engineer incorrectly sets the flow rate of phosphorus that is used to dope the poly-silicon gates of N-MOSFETs. Instead of the usual very high doping, the gates are only doped at 1 10 17 cm −3 . By how much does this change the threshold voltage of the transistors? 10.2 One of the major assumptions in deriving the ‘strong-inversion, source- referenced’ models from the ‘surface-potential’ model is that V CS (x) _(2φ B ÷ V SB ) . (10.58) Justify the making of this assumption for CMOS90 N-MOSFETs. 10.3 Show that (10.36) for the body-effect coefficient m is equivalent to the definition for m(x) in (10.43), when the latter is evaluated at the source end of the channel. 10.4 Compute the magnitude of the body-effect coefficient for the CMOS90 process. 10.5 Perform the derivation of (10.47) for I D following the procedure stated in the text. 10.6 Show that the reason why the Level 49 model breaks down is because it predicts E(x) →∞at V CS (L) = V DSsat . 10.7 A widely used expression for the saturation voltage in long transistors is V DSsat = (V GS − V T ),m. At what gate length does this expression start to become inaccurate? 10.8 A certain semiconductor material has an energy band structure in which the curvature around the extrema is greater for the valence band than it is for the conduction band. If MOSFETs of a given channel-length L were made fromthis semiconducting material to supply a given current at a given overdrive voltage (V GS − V T ), which type of FET (n-channel or p-channel) would have the smaller footprint? 10.9 (a) Employ the ‘long-channel’ threshold voltage expression (10.35) to eval- uate the threshold voltage for N-FETs from the CMOS90 and CMOS65 technologies. (b) Of all the parameters that were changed in going fromthe 90-nmtechnology node to the 65-nm technology node, which is the one most responsible for the large difference in long-channel V T ? 10.10 (a) For an N-FET with the CMOS90 parameters listed in Appendix C, obtain a log 10 I D -V GS plot for the sub-threshold regime, with V DS = 1.0 V. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 References 193 (b) Add to the plot from (a) the corresponding data for an N-FET from the CMOS65 process. (c) If the 65-nm technology was designed to give the same OFF current as for CMOS90 devices, what is the threshold-voltage shift in 65-nm FETs due to short-channel effects? Assume that there are no short-channel effects in CMOS90. (d) Imagine that the microprocessor in your laptop computer uses CMOS90 FETs. Estimate the temperature of the CPU chip and add the appropriate curve to your sub-threshold plot. Is the temperature rise desirable? 10.11 In the previous two questions the trend for the ‘long-channel threshold voltage’ to increase as devices scale down was noted. The reason for this is to accommodate the reduction in actual threshold voltage due to increasing short-channel effects. The parameters for CMOS45 are not yet well known, but one might expect a thicker high-k dielectric for the gate oxide, e.g., c r = 16 and t ox = 8 nm, a metal gate, and perhaps a slightly reduced doping density in the body, e.g., 1 10 18 cm −3 . If the target value for the long-channel V T is 0.45 V, what must be the work- function of the metal gate? 10.12 From Question 10.10 it can be taken that real N-FETs in the CMOS90 and CMOS65 technologies have the same OFF current. If performance can be evalu- ated as the ratio of maximum ON current (I Dsat ) to OFF current, did the change from CMOS90 to CMOS65 follow Moore’s Law? 10.13 Evaluate the saturation current if ballistic transport were to occur in the channel of an N-FET. Explain your choice of electron effective mass. If you were R&D Manager of a large semiconductor company, would the margin between the values of I Dsat for dissipative and ballistic transport lead you to try to develop ballistic Si MOSFETs? 10.14 By transferring a large amount of charge to the gate of a FET it is possible to cause the gate oxide to break down. This is why engineers wear a well-grounded wrist band when testing FETs. If an engineer neglected to wear a ground band, and tested FETs from the following two batches, which FETs would be more likely to be destroyed? Batch A: L = Z = 200 nm and t ox = 40 nm; Batch B: L = Z = 2000 nm and t ox = 4 nm. References [1] J.E. Lilienfeld, Device for Controlling Electric Current, US patent, 1,900,018, March 7, 1933. [2] C.T. Sah, Fundamentals of Solid-State Electronics, p. 521, World Scientific Publishing Co., Singapore, 1991. [3] G. Gildenblat, X. Li, W. Wu, H. Wang, A. Jha, R. van Langevelde, G.D.J. Smit, A.J. Scholten and D.B.M. Klaassen, PSP: An Advanced Surface-Potential-Based MOSFETModel for Circuit Simulation, IEEE Trans. Electron Dev., vol. 53, 1979–1993, 2006. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 194 10 MOSFET basics [4] W. Liu, MOSFET Models for SPICE Simulation, Including BSIM3V3 and BSIM4, John Wiley & Sons, Inc., 2001. [5] Y. Tsividis, Operation and Modeling of the MOS Transistor, 2nd Edn., Chap. 4, Oxford University Press, 1999. [6] D.L. Pulfrey and N.G. Tarr, Introduction to Microelectronic Devices, Prentice-Hall, 1989. [7] Y. Tsividis, Operation and Modeling of the MOS Transistor, 1st Edn., p. 57, M c Graw-Hill, 1987. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 11 HJFET basics Originally, the most significant difference between a MOSFET and a BJT was the high input impedance afforded by the gate insulation of the FET. The high quality of the SiO 2 /Si system in Si MOSFETs has not proved possible to replicate in other semiconductor systems, particularly those involving III-V compound semiconductors. Therefore, to capitalize on the advantages that the latter semiconductors may have over silicon, such as mobility (see Fig. 11.1), and still realize a FET device, some other way of implementing the field-effect is necessary. This has been done by using a metal/semiconductor junction, rather than a metal/insulator/semiconductor combination, to create a vertical field to control the charge in the channel. The two main devices that exploit this are shown in Fig. 11.2: the MESFET (metal-semiconductor FET), and the HEMT (high-electron-mobility transistor). The latter device is also sometimes called a MODFET, where MOD refers to modulation doping, and relates to the fact that the doping in the top barrier layer plays a key role in controlling (modulating) the channel charge. All these transistors are HJFETs (heterojunction FETs) on account of the presence of a metal/semiconductor heterojunction in their structures. The use of high-mobility semiconductors in MESFETs and HEMTs enables attain- ment of a high transconductance, which is a prerequisite for good high-frequency per- fomance (see Section 14.4). The mobility is further enhanced in a HEMT by reducing the doping density in the barrier layer proximal to the channel, and by exploiting the two-dimensional nature of the channel. Quantum-mechanical effects due to the confine- ment of electrons in the channel not only improve the mobility, but also lead to improved noise characteristics, as explained in Chapter 17. 11.1 Schottky barrier Metal/semiconductor junctions that exhibit rectifying characteristics are called Schottky barriers. 1 The simplest situation is when the electrostatics of the junction is determined by the work functions + of the two components, and by the electron affinity χ S of the semiconductor. 2 Such a situation is shown in Fig. 11.3 for the case of + M > + S . On 1 After Walter Schottky, who performed pioneering studies on these contacts in the 1930s. 2 Charges at the interface, in the form of dipoles and surface states, often due to the contamination and imperfection of the interface, can also affect the electrostatics, but these factors are not considered here. 195 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 196 11 HJFET basics 10 8 10 8 10 6 10 5 10 2 10 3 10 4 10 6 10 6 10 7 10 5 10 7 Si GaAs Ge InP Ga 0.47 In 0.53 As T = 300 K Electric field (V cm −1 ) E l e c t r o n d r i f t v e l o c i t y ( c m s − 1 ) Figure 11.1 Drift velocity vs. field for various semiconductors, illustrating the relative inferiority of silicon. From Sze [1], C _John Wiley & Sons Inc. 2002, reproduced with permission. S semi-insulating substrate n channel 2-DEG buffer (a) G semi-insulating substrate D S (b) G undoped n-type AlGaAs undoped GaAs buffer layer cap + n cap + n cap + n cap + n D Figure 11.2 The epitaxial-layered structures of two HJFETs. Top: the MESFET. Bottom: the HEMT. Both are grown on a semi-insulating substrate. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 11.1 Schottky barrier 197 E l e c t r o n e n e r g y (c) (d) E x V x W (b) 0 E l e c t r o n e n e r g y (a) 0 W 0 W x x bi E F E C (x) E l (x) E V (x) −qV E 0 E FM E C E FS c S Φ M Φ B FM −qV a E Fn E Fn E FM −qV a E E l (x) E l (x) −q (V bi + V a ) −q (V bi + V a ) E 0 Figure 11.3 Energy-band diagrams showing a metal/semiconductor junction. (a) Prior to joining the two components. (b) At equilibrium. (c) Forward bias. (d) Reverse bias. bringing together the metal and the semiconductor, electrons transfer from the latter to the former to equilibrate the system. Thus, a depletion layer forms (see Fig. 11.3b), the width of which can be modulated by applying a voltage to the diode. For an n- type semiconductor, forward bias means a negative potential on the semiconductor with respect to that on the metal (see Fig. 11.3c). The applied bias also changes the height of the barrier for electrons crossing the junction fromthe semiconductor to the metal. In this respect, the behaviour is no different from that of an np-junction. However, in the latter, the net flow across the junction is determined to some extent by the subsequent diffusion of the injected electrons in the p- region. This is usually the bottleneck to transport, and does not lead to much disturbance from equilibrium in the junction region. Thus, the quasi-Fermi levels can be regarded as being almost constant across the junction (see Section 6.5). Contrast this to the situation P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 198 11 HJFET basics shown in Fig. 11.3c, where there is an abrupt change in E Fn at the interface. As discussed in Section 6.7.3, this indicates a severe departure fromequilibrium. Such a situation arises because the electrons injected into the metal are not throttled by diffusion. They join the multitude of electrons already in the conduction band of the metal (≈10 23 cm −3 ), and a large current can be maintained by an infinitesimal field. Thus, the bottleneck to charge transport is the junction itself, and the current across the junction has to be driven by a change in E Fn . The situation is akin to that in an Np heterojunction with a very short base. From (9.11) the current density due to electrons injected into the metal can be written as J e (S →M) = qn 0 e −V a ,V th 1 : R . (11.1) where V a - 0 in forward bias. Note that this current density is positive if the x-direction is defined as x increasing to the right. From Fig. 11.3b, and from (4.14) n 0 = N C e −+ B ,k B T . (11.2) so J e (S →M) can be written as J e (S →M) = qN C e −+ B ,k B T e −V a ,V th : R . (11.3) where + B = (+ M −χ S ) is the Schottky barrier height. At zero-bias, J e (S →M) must be balanced by an equal flow of electrons from the metal to the semiconductor J e (M→S). This flow from the metal is not affected by the applied bias as + B is fixed by the material constants. Thus, the full expression for the current is J e ≡ J e (S →M) − J e (M→S) = qN C e −+ B ,kT (e −qV a ,kT −1): R . (11.4) 11.1.1 Thermionic emission and tunnelling Despite the discontinuity in the quasi-Fermi level at the interface, quasi-equilibrium can still be considered to apply in the semiconductor itself. The situation is illustrated in Fig. 11.4a, which depicts thermionic emission, i.e., the current is due to a relatively few energetic electrons drawn from a much larger pool of electrons that remain in an equilibrium distribution. It is guaranteed that the number of thermionically emitted electrons is relatively small if the depletion-region potential drops by at least k B T eV within one mean-free-path length ¯ l of the interface [2]. If the doping density is very large, then the bands will bend very steeply in the semiconductor (see Fig. 11.4b). In this case, if the barrier becomes very thin (less than ≈5 nm), then tunnelling of electrons can take place. Electrons can pass through such a barrier in either direction, so the rectifying nature of the contact is lost. The I-V characteristic becomes linear about the origin, and the contact is said to be ohmic. Such contacts are desirable when the metal is required merely to connect the semiconductor to external circuitry with minimal voltage drop. Both ohmic and rectifying contacts are used in the HJFETs described in the following sections. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 11.2 MESFET 199 (b) (a) 0 0 E l e c t r o n e n e r g y FM E FM E x x E 0 E Fn E Fn −qV a −qV a E l (x) E l (x) −q (V bi + V a ) −q (V bi + V a ) Figure 11.4 Charge transport at the Schottky barrier interface. (a) Thermionic emission of electrons in the shaded portion of the distribution over a barrier with a thick space-charge region. (b) Tunnelling of electrons in the shaded portion of the distribution through a barrier with a thin space-charge region. 11.2 MESFET The basic MESFET structure is shown in the top part of Fig. 11.2. The n ÷ ‘cap’ layer assures ohmic contacts for the source and drain, while the gate metallization is chosen to make a rectifying contact to the less heavily doped n-type ‘active layer’. These n-regions are grown epitaxially either on a weakly doped p-region or on a semi-insulating substrate. 3 11.2.1 Channel formation and threshold voltage In the top part of Fig. 11.5 the space-charge region is shown in a MESFET with V DS > 0. This bias makes the semiconductor potential at the drain end of the device more positive than at the source end. Thus, with respect to the gate, the drain end of the gate/semiconductor Schottky barrier is more reverse biased, so the space-charge region is wider there. The band-bending in this layer, and at the n/semi-insulating junction, define a channel, through which charge must pass en-route to the drain from the source, as illustrated in the lower part of the figure. Overall control of the space-charge-region width W(x) is due to the bias V GS applied to the Schottky diode. If, at zero bias, W is less than the thickness of the active layer, then the channel is ‘open’. To ‘close’ it the Schottky diode must be reverse biased sufficiently for the depletion-region edge to reach the interface between the active and semi-insulating regions. The value of V GS required to do this is the threshold voltage V T : it is negative for n-type semiconductors. FETs which are ON when V GS = 0 are termed depletion-mode FETs. 3 Semi-insulating refers to a semiconductor in which the conductivity can be reduced to near-intrinsic proportions, usually by charge compensation involving defects in the material [3]. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 200 11 HJFET basics 0 S G D x E n e r g y L 0 y W a channel n + n + buffer / substrate E Fn E C depletion region Figure 11.5 Channel formation in a MESFET. The closure of the channel must not be taken literally. It is to be taken in the same context as ‘pinch-off ’ of the channel is used in MOSFETs. It marks the onset of drain- current saturation in FET models of the ‘Level 1’ variety. These models predict that the channel charge goes to zero at the drain-end of the channel, whereas, in fact, the charge is small, but finite, and the current is sustained at a high value by virtue of the fact that the electrons are moving very quickly in this part of the channel. A case in which there would be truly no channel would be if the semiconductor active layer were so thin that the depletion region at zero-bias reached right through the semiconductor. To open up a channel in this case it would be necessary to forward bias the Schottky junction. Recall from Section 11.1 that the current grows exponentially with forward bias, so there is a limit to the forward bias that can be applied: it is set by the ‘leakage’ current to the gate that can be tolerated, and is only a few tenths of a volt. A MESFET working in this mode would have a positive threshold voltage and would be called an enhancement-mode device. 11.2.2 Drain current To obtain a rudimentary expression for the ON current in a MESFET, consider that transport is due only to drift: ¯ J e = −qn¯ : de . (11.5) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 11.2 MESFET 201 with ¯ : de being the electron drift velocity in the channel. We use a ‘Level 1’ model for the drift velocity-field relation : de = j e E x = j e ∂V CS ∂x . (11.6) where V C is the channel potential. The drain current is I D = −J e Z (a − W(x)) . (11.7) where a is the thickness of the active layer, Z is the width of the transistor, and the leading minus sign supports the IEEE convention, which assigns a positive value to a positive charge flow entering a device from an external terminal. From np-junction theory, (6.22) yields W(x) = ¸ 2c s qN D (V bi −[V GS − V CS (x)]) . (11.8) Substituting in (11.7) for W and for : de , and integrating, yields I D = G 0 _ V DS − 2 3V 1,2 P _ (V DS ÷ V bi − V GS ) 3,2 −(V bi − V GS ) 3,2 ¸ _ . (11.9) where G 0 is the channel conductance when there is no depletion region: G 0 = qj e N D a Z L . (11.10) and V P is the so-called pinch-off voltage: it is the potential drop across the depletion region at threshold, i.e., V P = a 2 qN D 2c s = V bi − V T . (11.11) where N D is the doping density of the active layer and c s is the semiconductor permit- tivity. In a depletion-mode device V P > V bi , so V T is negative. If (11.9) is examined at various V DS , it can be seen that the drain characteristic is linear at low V DS , then it reaches a peak, before becoming smaller at higher V DS . This is the same behaviour as observed for the ‘strong-inversion, source-referenced’ models for the MOSFET in Section 10.4 (see Fig. 10.11), and indicates that our models break down at some point. Here, the MESFET model breaks down when V DS ≡ V DSsat = V GS − V T . (11.12) This is the same condition at which the Level 1 model of the MOSFET was found to break down (assuming a body-effect coefficient of unity). It is associated with the channel pinching-off at the drain end, i.e., W(L) = a. As before, the model is extended into the saturation region by allowing I D to remain constant at the peak value given by (11.9), i.e., I Dsat = G 0 _ V GS − V T − 2 3V 1,2 P [(V P ) 3,2 −(V bi − V GS ) 3,2 ] _ . (11.13) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 202 11 HJFET basics Measured l-V Characteristic Curve 300 µm Device; T = 27 degC I D ( m A ) V D (V) Figure 11.6 Drain characteristic of the TQHiP MESFET from Triquint. The parameter is V GS , which varies from 0 to −2.4 V in increments of −0.2 V. This depletion-mode FET has L = 500 nm, Z = 300 µm, V T = −2.3 V, and is intended for use in RF power amplifiers and switches. As an example of the drain characteristic of a MESFET, Fig. 11.6 is offered. It is for a power MESFET from Triquint, and the relevant feature here is the need to apply a negative V GS in order to turn the device OFF, i.e., it is a depletion-mode FET. 11.3 HEMT In a HEMT, the channel is not contained within the n-type material that forms the Schottky barrier, as occurs in a MESFET. Instead, the channel exists in an underlying, undoped, semiconducting layer (see Fig. 11.2). The absence of doping means no ionized impurity scattering, which is one of the factors that leads to the exceptionally high mobility of this transistor. The barrier semiconductor, besides forming a heterojunction with the gate metal, also forms a heterojunction with the channel layer, as can be seen in Fig. 11.7. The latter heterojunction is constructed in a similar fashion to that illustrated for the InGaP/GaAs HBT in Fig. 9.2. However, in this AlGaAs/GaAs case, the electron affinities of the two semiconductors are not equal, so there is a discontinuity in the conduction-band edge. This discontinuity defines one side of the channel, within which are confined the electrons induced into the undoped semiconductor during the charge transfer that accompanies equilibration of the system. The large band-bending in the undoped semiconductor forms the other side of the confining ‘potential well’. The confinement in the y-direction is such that the electrons are free to move only in the two, mutually perpendicular directions: they form a two-dimensional electron gas (2-DEG) in the channel in the plane parallel to the interface. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 11.3 HEMT 203 S G D E n e r g y y x 0 L doped undoped high bandgap E C n + n + undoped low bandgap E 1 E Fn Figure 11.7 Channel formation in a HEMT. The bottom figure is a slice through the HEMT under the gate, showing the Schottky barrier and the conduction-band edge in the underlying layers in an AlGaAs/GaAs HEMT. The band diagram is drawn for equilibrium conditions. E 1 is the energy level of the first conduction sub-band arising from electron confinement in the narrow potential notch. 11.3.1 The 2-DEG To appreciate the significance of the 2-DEG, let us represent the ‘triangular’ well at the AlGaAs/GaAs interface by a ‘rectangular’ well, as illustrated in Fig. 11.8. For the moment, as a further simplification, let us approximate this asymmetrical, finite barrier, by a symmetrical barrier stretching to infinite energy. Within such a well the Schr¨ odinger Wave Equation (2.3) reduces to d 2 ψ y dy 2 ÷k 2 y ψ y = 0 . (11.14) where the wavevector in the y-direction is k y = 1 _ 2m ∗ E y . (11.15) The boundary conditions for this case of an infinitely high barrier are ψ y (0) = ψ y (a) = 0 . (11.16) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 204 11 HJFET basics (b) (a) undoped spacer E n e r g y y y a y E l e c t r o n e n e r g y 1 E yy∗ rectangular well approximation V E F E C E l E 0 E p c Figure 11.8 Representing the asymmetric, finite, triangular potential well at the AlGaAs/GaAs interface by an asymmetric, finite, rectangular well. So the solution is ψ y (y) = A sin(k y y) . (11.17) where A is some constant. It follows that k y is quantized: k y = nπ a . n = 1. 2. 3 · · · . (11.18) Therefore, and this is the important point, the y-directed energy E y is also quantized E y.n = n 2 2 π 2 2m ∗ a 2 . (11.19) Of course, as we saw in Chapter 2, the allowed energy levels are always quantized because of their relation to k, which is quantized according to the reciprocal of the length of the region of interest. Here, because the length a is small, the allowed energy levels are widely separated, and cannot be viewed as a continuum, as we have done elsewhere in talking about the conduction band. However, there are bands in this case too, but only in the directions perpendicular to that of the channel thickness, e.g., associated with each of the allowed energy levels E y.n are allowed bands of energy in the unconstrained perpendicular directions x and z. If we suppose that electrons stay near the bottom of these bands, then a parabolic E-k dispersion relationship can be used to characterize these bands. Further, if we assume P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 11.3 HEMT 205 Energy Energy Sub-band 3 Sub-band 2 Sub-band 1 (a) (b) E F k z k z k x k x E y,n + h 2 k 2 E y,n + h 2 k 2 ⊥ ⊥ Figure 11.9 Two-dimensional energy sub-bands associated with each allowed energy level E y.n . (a) Unfilled bands. (b) Some band-filling, as determined by the position of the Fermi energy. From Roblin and Rohdin [4], C _Cambridge University Press 2002, reproduced with permission, and courtesy of Patrick Roblin, Ohio State University. an isotropic effective mass, the total energy can be written as E = E y.n ÷ 2 2m ∗ k 2 ⊥ . (11.20) where k 2 ⊥ = k 2 x ÷k 2 z . Thus, associated with each allowed energy E y.n , there is a 2-D sub-band (see Fig. 11.9). 11.3.2 The finite well Electrons cannot escape from an infinite well, as we implied above by setting ψ = 0 at y = 0. a in formulating our expression for the discrete energy levels. The wavefunctions are sinusoidal and the probability density function ψψ ∗ for the first energy level, for example, indicates the greatest probability of finding the electrons as being at the centre of the well. This is highly desirable for a FET because it keeps the electrons away from the interface, at which there may be crystalline imperfections, which would cause increased scattering and a reduction in mobility. In real devices, as illustrated in Fig. 11.8, the well is not finite, so electrons can escape through the sides of the potential well. In other words, the probability density function for channel electrons is not zero in the barrier. 4 The situation is illustrated in the lower 4 For a derivation of the wavefunctions in a finite potential well, see Griffiths [5]. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 206 11 HJFET basics y E l e c t r o n e n e r g y AlGaAs GaAs GATE + + + + + + + + + + + + + + + + + + + (c) (d) + + + + + + + + + + + + + + + (b) (a) + + E l E l E l E l a −qV a −qV E C E V E F E C E F E C E Fn E C E Fn Figure 11.10 Conduction-band diagrams illustrating the control of the electron concentration in the channel by the gate bias. (a) Equilibrium, wide barrier layer. (b) Equilibrium, barrier layer just wide enough for the two depletion regions to just not overlap. (c) Reverse bias. (d) Reverse bias at the threshold condition. panel of Fig. 11.8. Whereas the penetration into the barrier cannot be prevented, its effect on the mobility can be mitigated by incorporating a thin, undoped region of AlGaAs barrier material, a so-called spacer layer, next to the interface. This removes a source of bulk scattering, namely, ionized-impurity scattering. 11.3.3 Electron concentration in the 2-DEG To find the number of electrons in each sub-band it is first necessary to find the density of states in k ⊥ -space. Recall that in 3-D the corresponding problem was one of counting states in the volume of a sphere (see Fig. 3.10). Here, it is a case of counting states in a circle of area πk 2 ⊥ . The ‘skin’ of this circle has an area of 2πk ⊥ ∂k ⊥ . In 1-D a state occupies 2π,L of k-space, where L is the real-space length in that direction (see (2.12)). Therefore, the number of states in our skin, or annulus, is N 2D = 2 2πk ⊥ ∂k ⊥ (2π,L x ) (2π,L z ) . (11.21) where L x and L z are the side-lengths of the channel, and the leading 2 in the numerator accounts for spin. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 11.3 HEMT 207 Dividing by the real-space area L x L z , and converting ∂k ⊥ to ∂ E via (11.20), and finally dividing by ∂ E, the 2-D density of states per unit area and per unit energy, for each sub-band, is g 2D = m ∗ π 2 . (11.22) Note that this density of states for each sub-band is independent of energy. This makes for an easy solution for the electron concentration in each sub-band, i.e., from (3.33) n 2D.n = _ sub−band m ∗ π 2 f (E) dE . (11.23) Each sub-band starts at an energy E = E y.n , and if we assume that the top of each sub- band is at infinity, then, on using the Fermi-Dirac distribution function and integrating, we obtain n 2D.n = m ∗ kT π 2 ln _ exp( E F − E y.n kT ) ÷1 _ . (11.24) Thus, the total concentration of electrons in the channel is n s = ∞ n=1 n 2D.n . (11.25) where the subscript s reminds that this is a surface concentration: an upper limit would be around (10 23 ) 2,3 . ≈10 15 cm −2 . 11.3.4 Controlling the channel charge by the gate potential Having considered the metal/semiconductor and semiconductor/semiconductor junc- tions separately, let us now bring them both together to form the structure of the HEMT under the gate, as first illustrated in Fig. 11.7. To equilibrate this system the donors in the AlGaAs barrier layer supply electrons to both the gate metal and to the 2-DEG in the channel. Depletion regions result at both ends of the barrier layer (see Fig. 11.10a). If the barrier layer is sufficiently thick that the two depletion regions do not overlap, then n s will reach its highest value, labelled n s0 . 5 Fig. 11.10b shows the case where the two depletion regions just meet at equilibrium, but do not overlap. This is the equilibrium situation that is sought in HEMTs. If a reverse bias is now applied to the gate/barrier Schottky diode, the gate demands more electrons. These must come from the electrons already donated to the channel (see Fig. 11.10c). Thus, n s decreases. This is illustrated in Fig. 11.10c by the electron quasi-Fermi level E Fn dropping towards the first allowed energy level E 1 (≡ E y.1 ). Eventually, as the reverse bias is further increased, a situation will be reached where all the donors are called upon to donate electrons to the gate. In this case n s →0, and the applied voltage V a = V T (see Fig. 11.10d). 5 In modern HEMTs n s0 may be as high as 10 13 cm −2 . P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 208 11 HJFET basics 0 800 700 600 500 400 300 200 100 0 1 2 3 4 5 6 − 0.9V V GS 0 + 0.9V Drain Voltage (V) D r a i n C u r r e n t ( m A m m − 1 ) Figure 11.11 Drain I-V characteristic for an AlGaAs/GaAs power HJFET from Triquint (0.15 µm Power pHEMT 3MI). Gate length =150 nm, gate width =100 µm. The V GS increments are 0.3 V. 11.3.5 The drain I-V characteristic As we have just seen, n s is controlled by V a = V GS . The drain current is drawn from this charge, and the familiar I D -V DS characteristic of a FETresults, with saturation being due to either pinch-off or velocity saturation. However, the device we have been discussing is a depletion device, so it will be ON at V GS = 0, and the saturation current will be progressively reduced as V GS is made more negative. It is possible to further increase I Dsat by applying V GS > 0, i.e., by operating the FET in the enhancement mode. An example of a commercial device that allows this is shown in Fig. 11.11. Note that a positive V GS forward biases the gate/AlGaAs Schottky diode, so the enhancement mode of operation is limited by the source-gate leakage current that can be tolerated. Exercises 11.1 Consider two diodes: one is a metal/n-Si Schottky diode, and the other is a p − ,n-Si homojunction. The n-type doping is quite high and is the same for both diodes. Which diode is more likely to deliver a forward-bias electron current at the hemi-Maxwellian velocity limit? Give reasons for your answer. 11.2 Consider a GaAs MESFET with a body thickness of 500 nm, a doping density of N D = 10 16 cm −3 and a Schottky barrier height of 0.8 eV. (a) Is this a depletion-mode or an enhancement-mode FET? (b) Calculate the threshold voltage. 11.3 Consider a metal/X/Y HEMT with no spacer layer; X and Y are lattice-matched semiconductors. The work functions of the metal, X and Y can be taken as 3.5, 2, and 4 eV, respectively. The electron affinities of X and Y are 1.5 and 3 eV, respectively. The bandgaps of the X and Y are 4 and 2 eV, respectively. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 References 209 (a) Draw energy-band diagrams, showing to approximate scale in energy (e.g., 1 cm ≡ 1 eV), the zero-field and local-vacuum levels, the conduction bands and valence bands for the two semiconductors, and the Fermi levels for the metal and the semiconductors under the following conditions: (i) for the three, separated components of the device; (ii) for the joined components at equilibrium. (b) What is the height of the Schottky barrier? (c) What is the height of the potential well at the X/Y interface? 11.4 HEMT A and HEMT B are identical, except that + gate.A - + gate.B , where + is the metal work function. Which transistor has the more negative threshold voltage, and why? 11.5 Consider Fig. 11.10b, c and d. This sequence shows an HJFET at equilibrium, with a small negative gate voltage applied, and with a gate voltage equal to the threshold voltage, respectively. Call this HJFET A. Consider Fig. 11.10a, which shows the equilibriumband diagramfor an HJFET with a thicker barrier layer. Call this HJFET B. Which of the two HJFETs has the more negative threshold voltage? 11.6 Familiarize yourself with (10.41). Imagine that an equation of similar formapplies to a HEMT over the full length of the channel, and for V T ≤ V GS ≤ 0. An Al 0.5 Ga 0.5 As/GaAs HEMT has a barrier layer of thickness 30 nm and a channel that can be taken to be an infinite square well of thickness 8 nm. At equilibrium, E F lies mid-way between the first and second quantized energy levels in the channel. (a) Evaluate the electron charge density in the channel from (11.25). (b) Evaluate the threshold voltage for this transistor. 11.7 For the case of conduction electrons, plot the energy dependence of the 2-D density of states and of the 3-D density of states on the same graph. The plot should reveal that the 2-D DOS at each sub-band energy level equals the 3-D DOS at the corresponding energies. References [1] S.M. Sze, Semiconductor Devices, Physics and Technology, 2nd Edn., Fig. 7.15, John Wiley & Sons, Inc., 2002. [2] F. Berz, The Bethe Condition for Thermionic Emission near an Absorbing Boundary, Solid State Electronics, vol. 28, 1007–1013, 1985. [3] D. Kabiraj, R. Gr¨ otzschel and S. Ghosh, Modification of Charge Compenstation in Semi- insulating Semiconductors by High Energy Light Ion Irradiation, J. Appl. Phys., vol. 103, 053703, 2008. [4] P. Roblin and H. Rohdin, High-speed Heterostructure Devices, Fig. 4.7, Cambridge University Press, 2002. [5] D.J. Griffiths, Introduction to Quantum Mechanics, Sec. 2.6, Prentice-Hall, 1995. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 12 Transistor capacitances The capacitance of a transistor is a crucial consideration when designing devices for applications in the commercially and societally important areas of digital logic, high- frequency signal processing, and memory. Accordingly, as a pre-cursor to the subsequent chapters on transistors suited to these applications, transistor capacitance is given a thorough treatment in this chapter of its own. The approach taken presents capacitance in a general way that can be applied to all transistors. The usual practice is to treat capacitance in an ad hoc manner, sometimes involving charges of opposite polarity, as in junction capacitance, and sometimes con- sidering just one polarity of charge, as in storage capacitance, for example. In fact, the origin of these two capacitances is the same: in the case of the emitter/base capacitance, for example, it is the change in charge within the device due to electrons that have entered from the emitter to set-up a new steady-state charge profile in the transistor in response to a change in base potential. This fact is recognized here, and provides a view of capacitance that is both physically based and intuitively appealing. Our approach is based on that of Tsividis for MOSFETs [1], and leads naturally to a double-subscripted specification of capacitance in all types of transistor, e.g., C EB or C SG . Thus, a 33 capacitance matrix captures all the capacitive elements of a three-terminal device, and allows for non-reciprocity. The capacitances described here are used without further ado in subsequent chapters on transistors for applications in digital logic (Chapter 13), high-frequency circuitry (Chapter 14), and memory (Chapter 15). 12.1 Defining capacitance Capacitance is related to charge, so let’s start with a general version of the equation for the continuity of charge density from (5.22): ∂ Q ∂t ÷ ∂ J ∂x = 0 . (12.1) where J is a conduction current of positively charged carriers that have a volumetric concentration Q. Recall that this equation was derived from the Boltzmann Transport Equation. To emphasize its fundamental nature, note that it can also be derived from 210 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 12.1 Defining capacitance 211 1 i i 2 Source Channel Drain Collector or or or surface “s” 1 i Base Emitter 3 2 3 Figure 12.1 Generic transistor, enclosed by a surface s, which is penetrated by three labelled leads, along which charge can enter or leave the transistor. Electromagnetic Theory via Maxwell’s equations, i.e., by applying the divergence operator to Ampere’s Law, integrating over some volume, changing to a surface integral, and using Gauss’s Law to bring in the charge density Q. Equation (12.1) expresses the universal truth of the conservation of charge, e.g., if there is a decrease in charge within a certain volume, then the removed charge must be carried to somewhere else by a current, i.e., charge cannot be created nor destroyed. For the generic transistor shown in Fig. 12.1, under steady-state conditions, ∂ Q 1 ∂t ÷ ∂ Q 2 ∂t ÷ ∂ Q 3 ∂t = 0 . (12.2) where Q j is the charge that enters or leaves the transistor through the lead attached to region j . It is very important to understand that by invoking steady-state conditions we have not seriously limited the applicabilty of (12.2). For example, imagine that when an N-MOSFET is OFF there is no electronic charge at the semiconductor surface. Now consider what happens when the gate/source voltage is suddenly raised above the threshold voltage. Electrons are injected into the channel and start to migrate towards the drain. For the short period it takes for the electrons to travel to the drain (the so-called transit time), there is a source current but no drain current. As (12.1) demands, the charge builds up within the channel during this time. After the elapse of the transit time, a current issues from the drain and we have steady-state conditions. For a 45-nm Si MOSFET and electrons moving at : sat = 10 5 ms −1 , the transit time is 450 fs. So, provid- ing we don’t need to know anything about this device’s performance during time-frames of this order, then the steady-state condition can be applied with impunity. Each of the charges relevant to Fig. 12.1 is a function of the potentials applied to the three leads: V 1 , V 2 , V 3 . Let’s use V 1 as a reference; so the Q’s are now functions of the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 212 12 Transistor capacitances 1 2 3 C 23 C 13 i 3 i 2 i 1 Figure 12.2 Equivalent-circuit represention of (12.7). voltages V 21 , V 31 . Thus ∂ Q 1 ∂t = ∂V 21 ∂t ∂ Q 1 ∂V 21 ÷ ∂V 31 ∂t ∂ Q 1 ∂V 31 ∂ Q 2 ∂t = ∂V 21 ∂t ∂ Q 2 ∂V 21 ÷ ∂V 31 ∂t ∂ Q 2 ∂V 31 ∂ Q 3 ∂t = ∂V 21 ∂t ∂ Q 3 ∂V 21 ÷ ∂V 31 ∂t ∂ Q 3 ∂V 31 . (12.3) For a specific example, consider ∂V 21 ,∂t = 0. This is the situation when V DS or V CE is held constant in a FET or HBT, respectively. From (12.2) and (12.3) ∂V 31 ∂t _ ∂ Q 1 ∂V 31 ÷ ∂ Q 2 ∂V 31 ÷ ∂ Q 3 ∂V 31 _ = 0 . (12.4) For this to be true for any ∂V 31 ,∂t , we must have ∂ Q 3 ∂V 31 = − ∂ Q 1 ∂V 31 − ∂ Q 2 ∂V 31 . (12.5) from which capacitances are defined: C 33 = C 13 ÷C 23 . (12.6) Equation (12.5) is to be interpreted as: in response to a change in voltage ∂V 31 , the change in charge that flows in through electrode 3 equals the negative of the changes in charge that flowin through the other two electrodes. Capacitance allows this relationship to be neatly represented in an equivalent circuit. As an illustration of this, use (12.3) and (12.6), to recognize that 0 = −C 13 ∂V 31 ∂t −C 23 ∂V 31 ∂t ÷C 33 ∂V 31 ∂t ≡ i 1 ÷i 2 ÷i 3 . (12.7) where the currents are often called charging currents, i.e., they exist only when the charge is changing. This equation can be represented by the simple equivalent circuit of Fig. 12.2. Usually, the capacitances are positive, as would be expected naturally, but P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 12.2 MOSFET capacitance 213 SD C ′ JD C ′ /dt GS dV m JS S p Body D G C ′ C ′ C ′ GS GSO GDO C ′ GD C ′ C ′ Figure 12.3 Illustration of the principal intrinsic and extrinsic capacitance in a MOSFET. The capacitors in the intrinsic case are within the dashed box; those without represent extrinsic capacitance. The prime indicates total capacitance to distinguish it from capacitance per unit area. there is at least one exception, as we mention in the next section. Our notation gives C j k = − ∂ Q j ∂V k if k ,= j C j k = ÷ ∂ Q j ∂V k if k = j C j j = k,=j C j k = k,=j C kj . (12.8) For a proof of the last equation see Exercise 12.1. 12.2 MOSFET capacitance The principal sources of capacitance in a MOSFET are shown in Fig. 12.3. Those capacitors within the dashed border represent intrinsic capacitance, and those outside represent extrinsic capacitance. All the capacitors are labelled with a prime, which indicates that they represent total capacitances, unlike C ox , which represents capacitance per unit area. 12.2.1 Intrinsic MOSFET capacitances For the MOSFET, j = 1 ≡ S, j = 2 ≡ D, j = 3 ≡ G; the terminal currents can be written as 1 _ _ i S i D i G _ _ = _ _ C / SS −C / SD −C / SG −C / DS C / DD −C DG −C / GS −C / GD C / GG _ _ _ _ ∂V S ,∂t ∂V D ,∂t ∂V G ,∂t _ _ . (12.9) Because of (12.8) only 6 of these 9 capacitances are independent. 1 Here we’ve neglected the body of the device: including it would lead to a 4 4 capacitance matrix. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 214 12 Transistor capacitances Note that C j k is not necessarily equal to C kj , i.e., the capacitances are not necessarily reciprocal. For example, consider C / GD and C / DG when the FET is operating in the saturation regime. Changing V DS brings about essentially no change in drain current, which means there is no change in the total channel charge Q / n , which, in turn, means there is no change in Q / G . Hence, in saturation, C / GD ≈ 0. However, on increasing V GS , the charge all along the channel Q n (x) increases negatively (see (10.40)). Some of this charge is supplied by the drain, so ∂ Q / D - 0 and C / DG > 0. Because of (12.7), which is Kirchoff ’s Current Law, only two of the three equations in (12.9) are necessary to specify the currents. Taking the drain and gate currents, and using V S as the reference potential: i D = (C / GD ÷C / SD ) ∂V DS ∂t −C / DG ∂V GS ∂t i G = −C / GD ∂V DS ∂t ÷(C / GD ÷C / GS ) ∂V GS ∂t . (12.10) where use has been made of the summation expression in (12.8) to expand the C j j terms. Recognizing that V DS = (V GS − V GD ), and doing a bit of algebra, leads to i D = C / SD ∂V DS ∂t −(C / DG −C / GD ) ∂V GS ∂t −C / GD ∂V GD ∂t i G = C / GS ∂V GS ∂t ÷C / GD ∂V GD ∂t . (12.11) These equations are represented in the schematic of Fig. 12.4. This is an extension of Fig. 12.2, and is a connected version of the intrinsic part of Fig. 12.3. Figure 12.4b clearly shows that C / GD represents the effect of the drain on the gate. In the case of Fig. 12.4c, recognize that ∂V GS = ∂V GD , and define the transcapacitance as C / m = (C / DG −C / GD ). After doing this, it is clear that i D = −C / DG ∂V GD ∂t . (12.12) This shows that C / DG represents the effect of the gate on the drain. The transcapacitance is, therefore, the circuit element that allows non-reciprocity to be realized in the capacitance between any two terminals. An unusual intrinsic capacitance is C / SD for a FET operating in the non-saturation regime. An increase in V DS widens the space-charge region, causing Q b to increase negatively. This causes a positive charge change in the channel charge Q n , as can be seen from Fig. 10.13. Because Q n is intimately related to Q S and Q D , the changes in theses charges are positive too. Thus, C / SD is negative, via the first equation in (12.8). The intrinsic capacitances are computed automatically in numerical circuit simulators such as SPICE. 2 Analytically, it is not easy to derive expressions for the capacitances, but it is worthwhile trying to do so in order to get a ‘feel’ for the factors that influence them. Here, we consider the case of operation in the triode mode, and make the simplifying assumptions of the Level 1 model (see Section 10.4.1), one of which is Q b (x) = Q b (0). 2 The capacitances are computed from the currents and voltages in the circuit via (12.7). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 12.2 MOSFET capacitance 215 m dV GS /dt SD C ′ C ′ C ′ C ′ C ′ C ′ C ′ C ′ C ′ GD GS (a) S D G S G (c) D (b) S D G GS dV m GD SD d i g i s i d i g i s i i s i g d i /dt GD GS Figure 12.4 Schematics of intrinsic MOSFET capacitance. (a) Complete representation. (b) Determination of the effect of ∂V DS on i G . (c) Determination of the effect of ∂V GS on i D . In (b) and (c) only those elements of (a) that are operative under the stated conditions are shown. Further, we assume that the body-effect coefficient is unity. Thus, from (10.40), and recognizing that, in the intrinsic device, Q G ÷ Q n ÷ Q b = 0 . (12.13) we have Q G (x) = C ox (V GS − V T − V CS (x)) − Q b (0) . (12.14) Recall that these charges are per unit area. The total gate charge is Q / G = C ox Z _ L 0 (V GS − V T − V CS (x)) dx − ZLQ b (0) . (12.15) where Z and L are the width and length, respectively, of the gate. Now, turn this integral over dx into one over dV CS by using the expression for current (10.46), and integrate over the range of V CS from 0 to V DS , after eliminating I D from the equation by using (10.34). The answer is, after some rearranging [2, pp. 242–244] Q / G = 2C ox ZL 3 (V GS − V T ) 3 −(V GD − V T ) 3 (V GS − V T ) 2 −(V GD − V T ) 2 − ZLQ b (0) . (12.16) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 216 12 Transistor capacitances 0 0.1 0.2 0.3 0.4 0.5 0.6 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 V DS (V) C / ( C o x Z L ) GS C ′ C ′ GD Figure 12.5 Bias dependence in the triode regime (V DS - V GS − V T ) of the intrinsic gate-source and gate-drain capacitances. V T = 0.3 V, V GS = 1.0 V. Differentiating this, with respect to V SG (= −V GS ) to get C / GS , and with respect to V SD to get C / GD , gives C / GS = 2 3 C ox ZL _ 1 − (V GD − V T ) 2 (V GS − V T ÷ V GD − V T ) 2 _ C / GD = 2 3 C ox ZL _ 1 − (V GS − V T ) 2 (V GS − V T ÷ V GD − V T ) 2 _ . (12.17) Recall that these expressions are valid for the triode regime only (and under Level 1 conditions), but they do serve the useful purpose of illustrating (see Fig. 12.5) the following features: the capacitances are of the order of C ox ZL; the capacitances are bias dependent; as saturation is approached (V DS →(V GS − V T ) in Level 1), C / GD →0, and C / GS → 2 3 C / ox . To briefly explain the trends in the figure, consider first C / GS , which represents the effect of V S on Q / G . In the context of increasing V DS with V D held constant, V S has to change negatively. Thus, V GS increases, which means more charge on the gate. Hence, C / GS increases with drain/source bias. Regarding C / GD , we know from our earlier discussion that it is approximately zero in saturation. Figure 12.5 shows the trend towards this condition as the triode regime is traversed. Recall that I D increases with V DS in the triode regime, even when V GS is held constant. This means that the channel charge density at the source end of the channel, Q n (0) ≈ C ox (V GS − V T ), is fixed. The drain current at the source end of the channel is given by I D = Q n (0):(0), where : is the electron velocity. At the drain end of the channel the current is unchanged, but : will be higher because of the increased field E x . This means that [Q n (L)[ decreases with P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 12.3 HBT capacitance 217 V DS , and we know it tends to zero when saturation via pinch-off is reached. Thus the total channel charge [Q / n [ decreases with drain/source bias, as illustrated in Fig. 10.13. Because we are assuming no change in the body charge Q / b , the gate charge must decrease, and C / GD too. The fact that the intrinsic capacitances are proportional to the gate length has meant that their absolute magnitudes have decreased with scaling. The extrinsic capacitances described below have also diminished, but not necessarily by as much as the intrinsic capacitances because they are not directly dependent on the gate length. However, in the highest performance Si CMOS circuitry, transistors are becoming so small that their total capacitance is of less significance than the capacitance of the connecting wires that join together the transistor logic gates. 12.2.2 Extrinsic MOSFET capacitances In addition to intrinsic capacitance, there is also extrinsic capacitance in a MOSFET (see Fig. 12.3). This results from the overlap of the gate and the heavily doped source and drain regions, and from the np-junctions between each of these regions and the body, as indicated in Fig. 12.3. For the overlap capacitance at the drain end, for example, C / GDO = − ∂ Q / GO ∂V D . (12.18) where the subscript O refers to ‘overlap’. From Gauss’s Law Q / GO = c ox A O E O . (12.19) where A O is the area of overlap, and E O is the magnitude of the y-directed field in the overlap region. If we assume that there is no field-fringing, E O = −V DG ,t ox , where t ox is the oxide thickness. Thus, with this simplification, the overlap capacitance is just that of a parallel-plate capacitor C / GDO = c ox A O t ox . (12.20) For the junction capacitances, see the treatment of bipolar transistors in the next section. Other extrinsic capacitances in a MOSFET, such as the gate-body overlap capacitance and the junction sidewall capacitance, are treated elsewhere [2, pp. 248– 249]. 12.3 HBT capacitance The following capacitances are relevant to all bipolar junction transistors, but we will refer to themas ‘HBTcapacitances’ because the HBTis the bipolar transistor we examine in the later chapters on digital switching and high-frequency performance. In HBTs the base is the controlling electrode, so we will start by expressing some intrinsic capacitances due to changes in the base potential V B , or to the voltages V BE and P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 218 12 Transistor capacitances QNE QNB QNC w B E C C BE,t C EB, j C CB,j EB,b C Figure 12.6 Concept of intrinsic capacitance in an HBT. The space-charge regions at each junction are unshaded, and the various capacitors representing different intrinsic processes, as described in the text, are shown within these regions. V BC . The cross-sectional areas of the emitter and the collector are usually larger than that of the gate of modern FETs, so intrinsic capacitances tend to dominate in HBTs. As usual, we consider only Npn transistors. 12.3.1 Emitter-base junction capacitance When V B changes, such that ∂V BE > 0, for example, the emitter-base potential barrier is lowered, and the space-charge region shrinks. The shrinkage on the n-side, for example, is due to electrons flowing in from the emitter lead and nullifying the charge of some of the ionized donors that constituted the previous depletion region (see Fig. 12.6). The emitter-base junction capacitance is C / EB. j = − ∂ Q / E. j ∂V BE . (12.21) where Q / E. j refers to the total number of electrons that have entered through the emitter contact and come to reside at the edge of the junction. Note from Fig. 12.6 that holes also flow in from the base contact to effect a corresponding shortening of the depletion region on the base side of the junction. If we wish to consider the hole charge explicitly, we examine C / BE. j = − ∂ Q / B. j ∂V EB . (12.22) Evidently, this junction capacitance is reciprocal. Inasmuch as the base-emitter junction is planar, the junction capacitance can be evaluated as we did earlier for C / GDO , resulting in the ‘parallel-plate’ expression C / EB. j = c s A E W . (12.23) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 12.3 HBT capacitance 219 where c s is the permittivity of the semiconductor, A E is the area of the emitter-base junction, and W is the depletion region width (6.17). 3 12.3.2 Base storage capacitance Another important capacitance is the base storage capacitance C / EB.b = − ∂ Q / E.b ∂V BE . (12.24) where Q / E.b is the electronic charge in the base that is injected from the emitter, in response to ∂V BE , in order to establish a new electron profile in the base, from which the new collector current is derived. For example, if ∂V BE increases the forward bias of the emitter-base junction, electrons will enter the base to form a steeper, steady-state, concentration gradient, from which a larger I C (V BE ) will issue. As one electron exits the base to the collector, another enters the base from the emitter, so the electron ‘storage’ in the base is, in fact, a dynamic process. The charging current that sets up the steady-state concentration gradient is completed by holes flowing into the base from the base lead (see Fig. 12.6). This flow maintains charge neutrality in the quasi-neutral base. If we choose to look at this capacitance from the hole storage point of view, we write C / BE.b = − ∂ Q / B.b ∂V EB . (12.25) It follows that the base storage capacitance is reciprocal. To evaluate C / EB.b , let us simplify the problemby assuming that the base is so short that there is negligible recombination of electrons and holes. 4 The electron profile, stretching across the quasi-neutral base from x = 0 to x = W B will be linear in this case. Thus: Q / E.b = −q W B A E 2 [n(0) −n(W B )] −qW B A E n(W B ) = −q W B A E 2 [n(0) ÷n(W B )] = −q W B A E 2 _ n ∗ E ÷n ∗ C ¸ . (12.26) where we have used the boundary conditions (9.7) and (9.8). Recalling the bias- dependence of n(0) (see (9.2)), and differentiating, we obtain C / EB.b = q 2 W B A E 2k B T n op exp(V BE ,V th ) . (12.27) The importance of this capacitance can be appreciated by noting its strong bias depen- dence. However, its significance has decreased over the years as basewidths have shrunk. 3 If the bias change ∂V BE is not small compared to the dc bias V BE , then W in (12.23) should be an average value. 4 In modern HBTs W B can be as small as 30 nm, which is much less than the minority carrier diffusion length, so the approximation of no recombination is satisfactory in such cases. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 220 12 Transistor capacitances + + + + + + + r r w w x (b) (a) 0 0 _ _ _ _ _ _ _ −Q +Q q e +Q + q w CB V CB −Q + q 0 V Figure 12.7 Illustration of the change in electric field profile in the base-collector depletion region due to the passage of a charge q e . In this case Q = 3q, and q e = −2q; the latter is located half-way between the edges of the depletion region, across which a constant voltage V CB is maintained. 12.3.3 Emitter storage capacitance The corresponding emitter storage capacitance C / BE.h is not usually of significance in HBTs because injection of holes into the quasi-neutral emitter from the base is thwarted by a large potential due to the valence band offset, as we demonstrated in Section 9.3.2. Thus C / BE.e = − ∂ Q / B.e ∂V EB ≈ 0. (12.28) 12.3.4 Base-emitter transit capacitance We now discuss a rather unusual capacitance that is related to the transit of electrons across the relatively wide space-charge layer at the reverse-biased base-collector junction (active mode of operation). The electrons originate in the emitter lead, but the holes they draw in from the base are different in number, and this turns out to be particularly important in estimating f T , a high-frequency figure-of-merit (see Chapter 14). We call this capacitance the base-emitter transit capacitance: C / BE.t = − ∂ Q / B.h ∂V EB . (12.29) ‘Base-emitter’ is used in the description, rather than ‘base-collector’, because, even though the electrons are in the base/collector space-charge region, they originate in the emitter. Imagine that a uniform field E n exists in the base-collector space-charge layer, which we represent here by a depletion region of width n. The field is maintained by a P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 12.3 HBT capacitance 221 constant voltage V CB , resulting in charges ÷Q and −Q at the edges of the depletion region (see Fig. 12.7a). Electrons, comprising a charge of q e , in transit across the region alter the field (see Fig. 12.7b). Physically, this is brought about by positive charges flowing through the external collector-base circuit, and building up at the edges of the depletion region. These charges, q 0 and q n in Fig. 12.7b, are often called image charges. Clearly, q e ÷q 0 ÷q n = 0 . (12.30) The assumption of constant V CB , and the application of Gauss’s Law, yield (Q −q 0 )x ÷(Q ÷q n )(n − x) = Qn. (12.31) This leads to expressions for the image charges: q 0 = −q e _ 1 − x n _ and q n = −q e x n . (12.32) We need now to find the cumulative effect on q 0 of having a beam of electrons stretching across the space-charge region. In each distance element dx let there be q e ,A E electrons per m 2 . Assuming a constant electron drift velocity ¯ : de , the current density due to this moving element is ¯ J e = q e A E dx ¯ : de . (12.33) Substituting for q 0 , and using the IEEE convention that the collector current is positive for electrons flowing out of the device, yields q 0 = I C : de _ 1 − x n _ dx . (12.34) Integrating this, and assuming that the drift velocity is constant, gives the hole charge that enters the base in response to a beam of electrons in transit across the collector-base depletion region: Q / B.h ≡ q 0 = I C : de n 2 . (12.35) Using this in (12.29), we can write C / BE.t ≡ − ∂ I C ∂V EB ∂ Q / B.h ∂ I C = g m n 2: de . (12.36) where g m = ∂ I C ,∂V BE ≡ ∂ I C ,∂(−V EB ) is the transconductance, which we will encounter in Chapter 14. This capacitance is very important in high-frequency HBTs, on account of the relatively large width of the space-charge region at the base-collector junction. ‘Transit capacitance’ in FETs The appearance of 2: de in (12.36) rather than simply : de is noteworthy because it implies that the signal velocity exceeds the electron transit velocity! One way of understanding this is to note that charge appears at the collector (in the form of an image charge) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 222 12 Transistor capacitances before the electron actually reaches that electrode. Recall that the image charges are a necessary feature of the rearrangement of the field due to the electrons in transit. In a MOSFET, electrons in transit along the channel also experience a field E x from the applied drain/source voltage. However, there is no corresponding favourable image- charge effect because the image charges appear almost entirely on the gate, and not on the drain [3]. This is because of the two-dimensional geometry of the FET and, in particular, of the gate electrode’s close proximity to the channel. 12.3.5 Collector-base junction capacitance In the active mode of operation, the base-collector junction is reverse biased. Thus, there is negligible injection of minority carriers across the junction, i.e., there are no storage capacitances associated with a change in V BC . Thus, the only significant collector-base capacitance is the junction capacitance C / CB = − ∂ Q / C. j ∂V BC = c s A C n . (12.37) Even though the depletion region width n at this junction is greater than at the emitter- base junction, because of the reverse bias, C / CB is often significant as the collector area A C is usually larger than that of the emitter (see Fig. 9.1). Exercises 12.1 In (12.8) it is claimed that C j j = k,=j C j k = k,=j C kj . (12.38) Prove this. 12.2 In Section 12.2.1 the following was quoted as an example of transcapacitance in MOSFETs: C m = C dg −C gd . (12.39) The inclusion of this element in an equivalent circuit allows for the differences between the effects of the gate on the drain and of the drain on the gate to be properly accounted for. Does the equivalent circuit need to be modified to allow for differences between C gs and C sg ? 12.3 Is it possible to have non-reciprocal capacitance in a two-terminal system? 12.4 In Section 12.2.1 there is some discussion about C sd being negative when a MOSFET is operating in the triode regime. What is C sd when the MOSFET is in saturation? 12.5 Consider a CMOS45 MOSFET of gate length L = 45 nm and gate width Z. The edges of the source and drain regions distal from the gate edge can be P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 223 taken to be 3L. The lateral intrusion of the source and drain implants under the gate is 3.75 nm. The source and drain doping densities are 2 10 20 cm −3 , and the body doping density is 3.24 10 18 cm −3 . For the oxide, take c r = 15 and t ox = 4.8 nm. Evaluate the total capacitances C / ox , C / GDO and C / J S . 12.6 Consider the base-emitter transit capacitance C EB.t in an HBT. The benchmark case (case A) is when the electron velocity is constant at : sat throughout the base/collector space-charge layer. Case B is when the electron velocity overshoots (assumed instantly) to 2: sat in the first half of the space-charge layer, and then continues in the second half of the layer at : sat . Case C is when the electron velocity changes from: sat to 2: sat at the half-way point. (a) What are the capacitances in each case? (b) What is the physical reason for one of the cases being better than the others, i.e. having the lowest capacitance? 12.7 Vertical scaling of HBTs has led to a situation where the base-emitter transit capacitance is now larger than the base-storage capacitance. Confirm this by evaluating C EB.t and C EB.b for the following HBT, which uses GaAs for the base and collector regions. W B = 30 nm. N B = 5 10 19 cm −3 . N C = 5 10 16 cm −3 . V CB = 3 V. 12.8 The junction capacitance of a 10 −3 cm 2 abrupt-junction n ÷ p diode in reverse bias has the experimental values shown in the table below. Plot these data in such a way that a linear relationship results, from which the p-type doping density and the built-in potential can be ascertained. C (pF) 3.849 3.288 2.626 2.253 2.008 1.826 V (V) −0.5 −1 −2 −3 −4 −5 12.9 A p ÷ n diode is fabricated in n-type silicon of resistivity 10 O·cm. For reverse- bias voltages of greater than a few hundred millivolts, the current density in the diode is constant at 100 pAcm −2 . (a) Calculate the base-storage capacitance of this diode when the forward current is 1 mA. (b) If this diode is now used as a varactor, what must be the diode area if the capacitance is to be 100 pF at a reverse bias of 50 V? 12.10 Two np ÷ diodes have p-type GaAs bases of doping density 10 19 cm −3 . Each diode has the same emitter doping density of N D = 5 10 17 cm −3 , and is operated at a forward bias of 1.25 V. The emitter in Diode A is GaAs, whereas in Diode B it is Al 0.3 Ga 0.7 As. Which diode has the higher junction capacitance? P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 224 12 Transistor capacitances References [1] Y. Tsividis, Operation and Modeling of the MOS Transistor, 2nd Edn., Sec. 9.2.1, Oxford University Press, 1999. [2] D.L. Pulfrey and N.G. Tarr, Introduction to Microelectronic Devices, Prentice-Hall, 1989. [3] D.L. John, Limits to the Signal Delay in Ballistic Nanoscale Transistors, IEEE Trans. Nano- technolgy, vol. 7, 48–55, 2008. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13 Transistors for high-speed logic Digital logic is a matter of charging and discharging capacitors as quickly as possible. If LV is the change in voltage required to signify a change in logic level at a node of constant capacitance C, then the switching time is τ = CLV I . (13.1) where I is the average current during the switching cycle. Thus, a successful digital logic technology would demand only small voltage swings, and be capable of supplying large currents to circuits of low capacitance. This chapter draws on the material on the DCperformance of MOSFETs (Chapter 10) and HBTs (Chapter 9), and on the chapter on capacitance (Chapter 12), to explain the features of modern transistors that make them suited to high-speed logic applications. The emphasis is on Si MOSFETs, which, in the formof complementary MOStechnology (CMOS), 1 have enabled the ULSI-circuitry 2 that has brought electronics into the lives of so many people. At lower levels of integration, and in applications where speed is more important than static power dissipation, emitter-coupled logic (ECL) using HBTs is a viable technology; it is considered at the end of this chapter. 13.1 Si CMOS 13.1.1 General features of CMOS In CMOS, logic gates comprise pairs of n- and p-type enhancement-mode MOSFETs. We have only considered the former so far, but the latter can be easily envisaged by changing all doped regions from n-type to p-type, and by reversing the polarity of the applied voltages. In CMOS technology, the threshold voltage of the P-FET is usually made to be opposite, and nearly equal in magnitude, to that of the N-FET. A complementary pair of transistors is shown at the end of the CMOS processing sequence in Fig. 13.1. 1 Invented by Frank Wanlass, Fairchild Semiconductor, 1963. 2 Ultra-Large-Scale-Integrated, i.e., more than a million devices per chip. 225 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 226 13 Transistors for high-speed logic 1 2 Shallow Trench Isolation Well Implantation 3 4 5 6 halos silicide gate pFET n-well p-well STI oxide nFET oxide p-Si substrate Gate Oxidation & Poly Definition Silicidation Spacer Formation & Source/Drain Implantation Source/Drain Extension & Halo Implantation Figure 13.1 Some key steps in the fabrication of Si FETs for deep sub-micron CMOS. Courtesy of Alvin Loke, AMD, Colorado. In a sub-circuit of one P-FET and one N-FET, the two gates of the two transistors are connected together, and the threshold voltages are such that in either of the logic states (HI or LO) only one of the transistors is ON. Thus there is, in principle, no static power drain. This was the feature that made CMOS an immediate success when it was first introduced in the 1960s. Another attribute is that CMOS logic gates can be made with much fewer transistors than their ECL rival (see Fig. 13.2). Further, in integrated circuits, each transistor has to be contacted at the top surface, and it is difficult to imagine a more compact arrangement than that exhibited by CMOS, in which two of the contacts (source and drain) are so closely aligned with the third contact (gate). The CMOS industry is huge, and has its own roadmap to chart a path towards ever smaller devices [1]. Technology nodes have been identified, which roughly refer to the minimum line-width or line-spacing that can be achieved by a given CMOS technology. Technologies at 90 nm, 65 nm, and 45 nm have been progressively introduced between 2003 and 2008. Each node number is approximately √ 2-times smaller than the previous one, so, if this shrinking were achieved in the two dimensions of the surface, the size of the object would be halved. This pays homage to Moore’s Law, in which the co-founder of Intel observed in 1965 that the number of transistors per square inch on ICs was doubling every year [2]. Transistor channel lengths can be considerably smaller than the technology-node number because of the lateral diffusion of the source and drain implants under the gate, and because of the halo regions (shown in Fig. 13.1 and discussed in Section 13.1.7). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 227 (b) Bias (a) DD V CC OUT B A B OUT V V A EE V SS Figure 13.2 Examples of 2-input NOR gates: (a) in CMOS, (b) in HBT emitter-coupled logic (ECL). The latter has a higher transistor count because, in addition to the actual emitter-coupled switching element, level shifters and a current source are required. However, it should also be noted that the ECL gate shown does allow complementary outputs. The process of systematic shrinking is called scaling; it has driven the high- performance end of the digital electronics industry for more than 40 years. In fact, it is the industry’s paradigm: make transistors smaller and circuits denser, then new applications will appear and jobs and profits will grow. It is not just the lateral physical dimensions that are scaled, but also the vertical dimensions, the supply voltage, and the doping density. These changes have to be made in concert in order to preserve the long-channel operation of the transistor, i.e., to ensure that the charge at the source end of the channel Q n (0) is controlled predominantly by the vertical field issuing from the gate. It is becoming increasingly challenging to continue shrinking devices while simultaneously improving device performance, as we shall see in the following sections of this chapter. 13.1.2 The ON-current In CMOS logic the ON-current is the drain current when V GS > V T , i.e., when the source-end of the channel is in strong inversion. The drain current increases with V DS to reach a maximum value that we have called I Dsat . Over the years, reduction of the channel length L has been more aggressive than reduction of the supply voltage V DD , which sets a maximum limit to the bias voltages V DS and V GS . This is because not only did a shorter L improve I Dsat in physically long devices, (10.38), it also allowed reduction of the area of the device, thereby improving packing density and reducing many of the FET’s capacitances (see Chapter 12). The last two attributes still apply, but L no longer has such a strong effect on I Dsat (see (10.48)). This is because L is already sufficiently small for the lateral field E x to attain a high-enough value for velocity saturation to occur over a significant part of the channel. The effect of this is illustrated in Fig. 10.14, from which it can be seen that the inclusion of velocity P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 228 13 Transistors for high-speed logic 0 0.1 0.2 0.3 0.4 0 0.1 0.2 0.3 0.4 0.5 V DS (V) I D ( m A µ m − 1 ) m eff = 230 cm 2 (Vs) −1 m eff = 460 cm 2 (Vs) −1 Figure 13.3 Effect of doubling the mobility from 230 to 460 cm 2 (Vs) −1 in a CMOS90 N-FET with channel length of 50 nm. Other model parameters as given in Appendix C. saturation (SPICE Level 49 model) gives a much smaller increase in I Dsat , as L is reduced, than is predicted by the SPICE Level 1 model, which does not limit the electron velocity to : sat . However, to attain their saturation velocity, the electrons still have to be accelerated over the source-side of the channel, so a high mobility is still desirable. The effect of doubling the mobility in a short-channel N-FET is shown in Fig. 13.3. Besides the expected increase in I D in the linear regime, there is some enhancement (about 20%) in I Dsat . Besides increasing the velocity via j eff , the ON-current can also be improved by increasing the channel charge. The SPICEmodels of Chapter 10 informus that this means increasing C ox and/or the putative overdrive voltage (V GS − V T ). Ways of increasing C ox , and the implications for leakage of the channel current to the gate, are discussed in Section 13.1.4. Because CMOS employs only one power supply, V DD , increasing V GS would mean increasing V DS , which, as we have already discussed, is limited by the necessity of keeping E x _E y . Additionally, a low value of V DD is desirable for the many portable electronic products that CMOS has enabled. The alternative option for increasing the overdrive voltage is to reduce the threshold voltage, but this would have serious con- sequences for the sub-threshold current, as discussed in Section 13.1.7. In circuitry as dense as in CMOS microprocessors, where 10 8 transistors per square centimetre is common, one cannot afford to have much of a current per FET when the transistor is OFF (V GS = 0 in an N-FET), otherwise the static power drain would be prohibitive (see Section 13.1.10). Unwanted power dissipation also occurs during switching, and is also discussed in Section 13.1.10. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 229 13.1.3 Channel mobility and strain Starting with the 90-nm generation of MOSFETs, straining of the Si channel has been employed to improve the mobility of both electrons and holes. The strain affects the band structure, and successful strain engineering exploits this to reduce the effective mass in the desired direction of conduction. The strain is produced by stressing the channel region in a variety of ways, as we shall reveal. Hooke’s Law relates the deformation u of a 1-D object to the applied force F by a material-related force constant K: ¯ F = K ¯ u . (13.2) When generalized to a 3-D object, such as a cube of crystalline semiconductor, we have normal forces and shear forces, and a proliferation of subscripts because of the possibility of deformations of a given side length in a given face arising from all the possible normal- and shear-forces. Each force per unit area is a stress and each component of deformation is a strain. The force required to produce a given strain is determined by the elastic stiffness constants of the material [3]. In cubic crystals such as Si and GaAs, there are just three independent such constants. In Si, their magnitudes range from 64 to 166 GPa, which gives an indication of the immense pressures within a crystalline solid. Conversely, the strain that results from a given stress is determined by the elastic compliance constants of the material, which are related to the elastic stiffness constants. In the simple 1-D model that we used in Chapter 2 to illustrate how band structure is related to crystal structure, we saw the importance of the spacing between atoms. Thus, if this spacing is changed by strain, we can expect changes in band structure to result. If we are looking for strain to improve the mobility of holes in silicon, for example, it would be advantageous if the heavy-hole band could be lowered in energy with respect to the light-hole band. 3 Unfortunately, it is not as simple as this in practice. Both valence bands become so warped by the strain that neither can be considered ‘heavy’ nor ‘light’. Instead, because the strain splits the bands, they are referred to as the ‘top’ and ‘bottom’ bands. As the holes preferentially occupy the higher band, it is important that the curvature of the top band be such that the effective mass in the desired direction of conduction be reduced. One way to achieve this for a -110> channel on a {001] Si surface in a sub-100 nmdevice is to apply a uniaxial compressive stress of about 1 GPa to the p-type channel. This is a huge pressure when one realizes that about 0.8 GPa will break high-strength steel! One way to realize such a high stress is to etch recesses in the Si where the source and drain should be, and then fill-in by epitaxially growing Si 1−x Ge x (see Fig. 13.4). With a Ge mole fraction of x ≈30 %, the more expansive SiGe puts the Si channel under a compressive stress of the required magnitude. To date (2009), hole mobility enhancements of up to about four times have been achieved by using this approach. For n-channel Si MOSFETs on {001] substrates, a -110> tensile stress induces a shear strain that is beneficial for electron conduction in this direction. Three notable 3 Recall that lowering in electron energy is equivalent to raising in hole energy. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 230 13 Transistors for high-speed logic Gate Gate Si 1−x Ge x Si 1−x Ge x Si recess etch STI SiGe epitaxial growth p-type MOSFET 45 nm Figure 13.4 Partial process flow and TEM cross-sectional view of a strained-Si p-channel MOSFET using embedded SiGe for the source and drain regions. From Thompson et al. [4, 5], C _2004 and 2006 IEEE, reproduced with permission. changes in the conduction-band structure occur: the six-fold symmetry of the conduction- band minima is broken, leading to the two [001] valleys moving to lower energies; the conduction-band minimum moves towards the X-point as the shear strain increases; the degeneracy of the two conduction-band minima at the X-point (see Fig. 2.9) is removed [6]. One manifestation of this is that the prolate spheroid constant-energy surfaces of Fig. 2.12 become scalene spheroids, i.e., the two transverse effective masses are no longer equal. In other words, with reference to Fig. 2.7, the Brillouin zone is no longer symmetrical in directions perpendicular to the new k x -direction. The situation is obviously quite complicated, and is made more so by quantum confinement effects in the narrow inversion layer. Here, we’ll just illustrate the beneficial effect of breaking the six-fold symmetry of the conduction bands extant in unstrained bulk material. Consider Fig. 13.5a, which loosely represents the E-k relationship for Si near the conduction band edge E C , i.e., the ‘valley’ is steeper in two of the principal directions than it is in the other, orthogonal, direction. The equivalent constant-energy surfaces in 3-D are shown in Fig. 13.5b. The four spheroids in the horizontal plane are often called the L 4 valleys, and the two in the perpendicular direction are called the L 2 valleys. Here, we consider the silicon channel to be in the surface plane. The tensile strain breaks the six-fold degeneracy of these valleys, raising the energy of the L 4 set, and lowering the energy of the L 2 set, i.e., the bottom of the conduction band is raised for the L 4 valleys and is lowered for the L 2 valleys (see centre and right panels of Fig. 13.5a). For a given energy E with respect to the bottom of the conduction band in the L 4 valleys, E − E C for the L 2 valleys is increased, so the spheroids become larger. The electrons naturally seek the lower energy states, so population of the L 2 valleys is favoured. If the in-plane effective mass in the L 2 valleys remains at m ∗ t , which we note from Table 2.1 is considerably less than the longitudinal effective mass m ∗ l , then the electron mobility in the channel is increased. As mentioned above, the effective masses are changed by the strain, but to get an idea of the enhancement in j e that might be possible, consider the conductivity effective mass in (5.36). Based on the equal probabilities of occupation of the six equivalent conduction bands, a value of m ∗ e.COND = 0.26m 0 was P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 231 (a) Unstrained k E n e r g y (b) E ∆ valleys lowered 2 C E ∆ valleys raised 4 plane of ∆ 4 valleys E-k 2 , -k 3 E-k 1 Figure 13.5 (a) Energy-wavevector relations for a material with an effective mass in one direction that is different from the effective mass in the two orthogonal directions. Left: all six valleys have the same E-k relationship. Centre: the L 4 valleys (see (b) below) are raised in energy. Right: the L 2 valleys are lowered in energy. (b) The constant-energy surfaces for the energy (E − E C ) indicated in (a). With respect to the unstrained case (shaded spheroids), the in-plane spheroids are reduced and the out-of-plane spheroids are enlarged. estimated. If all the electrons could now locate in the L 2 valleys, then we would have m ∗ e.COND = m ∗ t = 0.19m 0 , i.e., an improvement in mobility of about 37%. A further bonus is that, whereas electron scattering is equally probable between all six valleys in unstrained silicon, now an energy change is needed to scatter from a L 2 valley to a L 4 valley. Thus, the in-plane electron mobility may be further increased. Strain engineering to improve Si MOSFET performance is a very active area of research and development. One stressor is a thin layer of silicon nitride, which is deposited by plasma-enhanced CVD 4 over the completed FET. This stress liner con- forms to the FET as shown in Fig. 13.6, and, depending on the deposition conditions, can be imbued with either a tensile or a compressive stress. For N-FETs a tensile liner is 4 Chemical Vapour Deposition. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 232 13 Transistors for high-speed logic Tensile Nitride Gate NMOS High stress film PMOS Gate STI n-type MOSFET Compressive Nitride Figure 13.6 Dual stress liner process architecture with tensile and compressive silicon nitride layers deposited by plasma-enhanced CVD. From Thompson et al. [4, 5], C _2004 and 2006 IEEE, reproduced with permission. used, and its adhesion to the source and drain allows its inherent tensile stress to stretch the channel. The contact area in the former regions is much greater than the channel area, so any strain relaxation that takes place occurs mainly outside of the channel. This means that this method of stressing works well if the channel is short, but it also means that it is not easily scaleable. In fact, at the 45-nm node, the source and drain areas may be insufficient to ‘anchor’ the stress liners. An alternative stressor would then be needed. Creating a trench and filling it with a material that would be compressed by the surrounding silicon is one possibility under investigation at present. It is amazing that such large and different stresses can be applied to such tiny structures that are in such close proximity. The influence of the tensile stress in an N-FET on the compressive stress of a neighbouring P-FET, for example, is likely to become a necessary consideration in layout design, if, indeed, it is not already so. 13.1.4 Oxide capacitance and high-k dielectrics Increasing C ox puts more charge in the channel for a given V GS and, consequently, increases I D . By the 90-nm technology node the oxide thickness had been reduced to ≈2 nm, leaving little roomfor further shrinking as a means of increasing C ox . Apart from the difficulties involved in producing thinner films to acceptable standards of integrity and uniformity, there is the problem of increased leakage to the gate of drain-intended current, as discussed in Section 13.1.6. Traditionally, the gate oxide has been SiO 2 : being silicon’s native oxide it is obviously compatible with the semiconductor. Further, the interface between the two materials is extremely well understood, and techniques P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 233 have been developed to effectively passivate the interface [7, Section 6.5.13]. However, a drawback to SiO 2 is its rather low relative permittivity of 3.9. Over the years, nitrogen has been incorporated in the oxide to raise the relative permittivity to 4–5, but, clearly, a higher permittivity dielectric would be helpful. Incorporation of a higher-k dielectric 5 into the CMOS process is another very active area of research and development. At the time of writing (2009), only Intel of the big chip manufacturers has included a new gate-dielectric at the 45-nm technology node, but, undoubtedly, others will follow. The Si/SiO 2 interface is preserved, but the dielectric is thickened by the addition of an oxide derived from hafnium, and probably including some nitrogen. The overall relative permittivity is ≈15–20. With respect to an oxide of pure silica, this allows a given oxide capacitance per unit area to be achieved with a thicker oxide, thereby offering the possibility of reducing the gate leakage current (see Section 13.1.6). However, the ON-current would be more directly improved by the new dielectric if C ox was actually made higher than before. This is accomplished by choosing the newdielectric’s thickness such that t high−k - t silica c high−k c silica . (13.3) The case for high-k dielectrics is easily stated, but it should be appreciated that the actual replacement of the traditional, tried-and-tested, silica dielectric has posed considerable difficulties for the industry. 13.1.5 Metal gates and poly-silicon capacitance The industry is moving towards a new gate stack, in which a metallic gate electrode is used with the high-k dielectric. This represents another very significant change for the silicon-processing industry, as highly doped polycrystalline silicon gates have been used in CMOS for decades. Originally, in the 1960s, gates were made from aluminium, but this metal’s penetration into the underlying silicon dioxide, during thermal treatments later in the device’s processing, prevented use of the metal gate as a mask to facilitate the self-alignment of the source and drain regions to the gate. Polycrystalline silicon overcame this problem. However, having a semiconductor for the gate means that there is some depletion at the gate/oxide surface (see Fig. 13.7). This results in an additional potential drop in the device, thus, (10.14) is modified to V GB − V f b = ψ poly ÷ψ ox ÷ψ s . (13.4) In other words, ψ s , which determines the charge in the channel, experiences less of V GB than it would otherwise. In earlier generations of CMOS this was not a particularly important issue, but now, when material-modification is being increasingly addressed as a means of maintaining the momentum in device-performance enhancement, it needs to be dealt with. Hence, the return of the industry to metal gates, which will need to be less reactive than aluminium, or be deposited later in the fabrication process, perhaps after 5 The ‘k’ in high-k refers to the symbol often used for dielectric constant, which is an earlier term for relative permittivity. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 234 13 Transistors for high-speed logic GATE E l e c t r o n e n e r g y OXIDE BODY y poly FB E V E C C poly ox C s C E FG E Figure 13.7 Band-bending in the polysilicon gate, and the extra capacitance it causes. the use of a sacrificial polysilicon gate to allow self-alignment of the source and drain. Nickel and titaniumare two possible metals. Co-deposition of metals such as these opens up the possibility of achieving a range of work functions, with which threshold-voltage control could be achieved via variation of V f b . The opportunity of being able to vary V T across a chip would be welcomed by IC designers. 13.1.6 Gate leakage current The steady reduction in oxide thickness as part of the scaling process has led to an increase in the flow of electrons from the channel (and from the overlapped part of the drain) in an N-FET to the gate. This tunnelling current detracts from the drain current, hence its name of leakage current. The transport mechanismof tunnelling is considered in detail in Section 5.7.3. Expressions for the tunnel current are derived for the cases where the electrons in the channel form a ‘classical’ 2-D sheet, as we have considered thus far, and where the electrons are confined to quasi-bound states, as discussed in Section 13.1.8. Here we consider the former case, for which the tunnel current density is given by (5.63), which is of the form J tunn = _ E q h n 2D (E)T(E) dE . (13.5) where n 2D (E) is the electron density of the 2-D sheet of electrons at the oxide/semiconductor interface, and T(E) is the tunnelling transmission probability. If the tunnelling barrier is approximated as being rectangular in shape, as shown in Fig. 5.8, then there is an analytical expression for T(E) (see (5.54)). This expression contains, in P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 235 its denominator, hyperbolic functions that involve the thickness of the tunnelling barrier t ox , the electron effective mass in the oxide m ∗ ox , and the height of the potential barrier. E C.ox − E C (0) = χ Si −χ ox . (13.6) where E C (0) ≡ U 1 is shown in Fig. 5.8, and the χ’s are electron affinities. These depen- dencies are captured in (5.56), which, using the notation of (13.6), is T ≈ exp _ − 2t ox _ 2m ∗ ox (E C.ox − E) _ . (13.7) The above equations highlight the fact that tunnelling depends not only on the thick- ness of the barrier, but also on its height. The latter demands that, for low tunnelling, the oxide must have a low electron affinity. For silica, χ = 0.9 eV, whereas for hafnia χ ≈ 2.9 eV. It’s reasonable to assume that the electron affinity of present ‘high-k’ oxides is somewhere between these limits. Let us use χ as a variable and determine the reduc- tion in tunnel current commensurate with a given increase in C ox . For the baseline C ox we assume an oxide of silica with t ox = 1.75 nm. We also assume a relative permittivity for the high-k dielectric of four times that of silica. 6 Figure 13.8 shows the ratio of tunnel current in the high-k case to that in the silica case as a function of χ for the high-k dielectric. It is clear from the figure that a 2–3 order-of-magnitude reduction in tunnel current can be achieved simultaneously with a 50% increase in C ox , provided the electron affinity of the high-k dielectric does not exceed about 1.8 eV. 7 13.1.7 Threshold voltage: the short-channel effect From the equations developed in Section 10.4.5 for the ON-current, and in Section 10.5 for the sub-threshold current, it is clear that there is a limit to how low V T can be reduced in practice. For example, if we take I D (ONset) to be the current at the onset of the ON state, i.e., when V GS = V T ; and we take I D (OFF) as the current in the fully OFF state when V GS = 0, then the OFF/ONset current ratio is I D (OFF) I D (ONset) = exp −V T mV th . (13.8) Thus, if an OFF/ONset current ratio of 10 −4 is required, then, taking m at its optimum value of unity indicates that V T must not be less than about 0.24 V. Therefore, the issue of threshold-voltage control is critical, and it brings us to a discussion of factors not previously introduced in Chapter 10, but which can severely affect V T if they are not dealt with. An illustration of this is seen in Fig. 13.9 and Fig. 13.10. These figures examine the effects on both the ON- and OFF-currents of the depth y j of the source and drain regions, and of the length L of the channel. To bring y j into the calculations, the 6 A homogeneous hafnia dielectric would have a relative permittivity of about 5 times that of silica. 7 It must be noted that our calculation is very sensitive to the values used for the effective masses, which are not well-known. One might even question the use of the effective-mass concept when considering transport through such thin, and non-crystalline, materials. Here, we used 0.91, 0.1, and 0.3 for the effective masses m ∗ ,m 0 of Si, HfO 2 and SiO 2 , respectively. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 236 13 Transistors for high-speed logic 0.5 1 1.5 2 2.5 3 10 10 −2 −4 10 0 10 2 10 4 c ox (eV) I h i g h - k / I s i l i c a 100% increase in C ox 50% increase in C ox Figure 13.8 Ratio of tunnel current in a high-k dielectric to that in silica as a function of the electron affinity of the high-k dielectric. The high-k dielectric is taken to have a relative permittivity that is four times that of silica. The top curve is for an improvement in C ox of 100%, and the bottom curve is for an improvement of 50%. The parameter values are as given in the caption to Fig. 5.8, unless otherwise stated. (E C.Si − E F ) was taken to be 50 meV. full set of equations (5.24) in 2-D was solved numerically. The solution for the case of y j ≡ 0 was obtained from the PSP model (10.27). Consider first the gate characteristic: the bottom three curves show that, for a given channel length, the sub-threshold current increases dramatically as the depth of the source and drain regions is increased. The effect is indicative of a reduction in the threshold voltage. Compare now the two curves at y j = 30 nm, and observe that shrinking L from 100 to 50 nm also effectively reduces the threshold voltage. Turning now to the drain characteristic, note that the effect of increasing y j is to enhance the drain ON-current as V DS is increased. This effect is not to be confused with channel-length modulation, which occurs in longer devices after V DS is increased beyond the ‘pinch-off ’ value. 8 Current saturation in FETs with sub-micron channel lengths is more due to velocity saturation than to pinch-off (see Section 10.4.5). The phenomenon seen in Fig. 13.10 is indicative of V T being influenced by V DS . It becomes apparent over the entire range of the drain characteristic when L is reduced to ≈50 nm. The above observations regarding the gate and drain characteristics can be quantified by a reduction in the threshold voltage as either the junction depth is increased, or as 8 In a long-channel device, if V DS is increased beyond the value at which pinch-off occurs, the pinch-off point moves further away from the drain as the depletion region around the drain thickens. This has the effect of shortening the remaining portion of the channel that is still in strong inversion. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 237 0 0.1 0.2 0.3 0.4 0.5 10 −11 10 −10 10 −9 10 −8 10 −7 10 −6 10 −5 10 −4 10 −3 V GS (V) 50/30 100/50 100/30 100/0 I D ( A µ m − 1 ) Figure 13.9 Gate characteristics for various combinations of channel length L/source-drain junction depth y j (both in nm). Unless otherwise stated the parameters are as listed in Appendix C for a CMOS90 N-FET. The bottom curve (solid line) is from the PSP model (10.27) and the other curves are from (5.24), using the Drift-Diffusion Approximation implemented in MEDICI. the length of an already short channel is decreased. This phenomenon of an increase in drain current due to factors not included in the long-channel model is known as the short-channel effect. Basically, the short-channel effect is an electrostatic effect. Field lines emanate from the positively biased drain, and must terminate on negative charges somewhere in the transistor. The p-type substrate provides suitable sites, leading to a space-charge region extending out from the drain. This region is wider than the space-charge region around the source because of the greater reverse bias at the drain/body np-junction. The drain- related space-charge at some point x / increases the surface potential at x / ; this increases [Q n (x / )[, and possibly E x (x / ). Both effects lead to an increase in I D . This particular aspect of the short-channel effect is often called charge sharing. The name comes from the fact that the total space charge (depletion) at some point x / is now determined by both the gate and drain potentials. A given ψ s (x / ) can now be achieved with a lower V GS than would be needed if there were no depletion due to V DS . As L decreases, the space-charge region from the drain progressively encroaches on the source. Eventually, ψ s (0) is affected by V DS . The effect on I D is direct because ψ s (0) sets the height of the potential barrier at the source/channel junction, i.e., it controls the electron flow into the channel. This aspect of the short-channel effect is called drain-induced barrier lowering (DIBL). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 238 13 Transistors for high-speed logic 0 0.2 0.4 0.6 0.8 1 0 1 2 3 4 5 × 10 −4 50/30 100/50 100/30 100/0 V GS (V) I D ( A µ m − 1 ) Figure 13.10 Drain characteristics for the same combinations of parameters given in Fig. 13.9. As noted earlier in this subsection, the effects of charge-sharing and DIBL can be captured by defining an effective threshold voltage V T.eff = V T ÷LV T (y j . L. V DS ) . (13.9) The electrostatic interaction between the drain and the channel via the depletion region in the body can be viewed as a capacitive phenomenon, as illustrated in Fig. 13.11. Therefore, the most obvious way to reduce [LV T [ is to reduce the size of the drain- source capacitor. As the sidewall of the np-junction defines one of the lengths of this ‘plate’ of the capacitor, then it would be helpful to reduce y j . The simulation results of Fig. 13.9 and Fig. 13.10 confirm this. In fact, y j has been shrinking over the years, going from about 40 nm at the 130-nm node, to about 15 nm at the 45-nm node. One drawback to reducing y j is that the lateral access resistance to the channel from the source and drain contacts is increased. For this reason, only the part of the source and drain closest to the channel is thinned. These shallow source- and drain-extensions are evident in Fig. 13.1. Another way of reducing the influence of the drain on ψ s (0) would be to shield the source from the field issuing from the drain. This could be done by raising N A throughout the body. However, a high doping density at the semiconductor surface would lead to an unacceptably high V T . The present industry solution is to use a non- uniform doping profile N A (x. y). The doping is increased in the y-direction: the desired high doping is achieved deeper into the substrate using fast-diffusing dopants such as P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 239 B G Oxide p Body S D n + Drain n + Source Figure 13.11 Illustrating how the drain-source capacitance abets the gate-source capacitance in determining the potential ψ s at the source, thereby giving rise to the short-channel effect. Adapted from a figure kindly supplied by Alvin Loke, AMD. B, As and P, whereas doping of the sensitive surface region is accomplished by more lightly doping using slower diffusants such as In and Sb. The resulting doping profile is called retrograde. In the x-direction, N A is increased only close to the source and drain junctions. The feature is shown in Fig. 13.1 and is called halo doping. Another name for these highly doped regions is pocket implants. The three features of y j reduction, retrograde doping and pocket implants, have proved sufficient to control the short-channel effect, at least down to the 45-nm node. Future schemes may involve multiple gates, of which a ‘wrap-around’ gate would be the ultimate solution (see Chapter 18). 13.1.8 Threshold voltage: a quantum-mechanical effect Recall that one of the trends in scaling has been to increase the doping density in the body to prevent V T from getting too small due to reductions in t ox . For high substrate doping densities, the potential profile in the y-direction of the body becomes steep enough for the electrons to be restricted to a very shallowregion at the oxide/semiconductor surface. Of course, we recognized this before when we invoked the channel-sheet approximation, however, the added feature here is that this restriction creates a ‘potential well’. If the sides of this potential well are high enough, the conduction band splits up into sub- bands, as described in detail in Section 11.3.1. The situation is illustrated in Fig. 13.12. The sub-bands are at higher energies than E C , and, as there is no longer a continuum of energy levels near the quasi-Fermi level, it takes more energy to populate the quasi-bound states, 9 so V T is raised slightly. 9 The states are properly termed ‘quasi-bound’, rather than just ‘bound’, because the electrons in them are not completely confined. They must escape if there is to be a drain current. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 240 13 Transistors for high-speed logic E E l e c t r o n e n e r g y OXIDE BODY y 2 E FG E C E V E FB C 1 ox E C extra ‘t ox ’ GATE metal s Figure 13.12 Illustration of how the fact that the centroid of charge in the first conduction sub-band E 1 is distal from the interface leads to an increase in the effective oxide thickness. An appreciation of the effect can be gained by considering the charge distribution in the first sub-band. As shown in Fig. 11.8, the centroid of charge is near the middle of the well, i.e., distal from the actual semiconductor surface. This increase in separation between the channel charge and the gate electrode can be viewed as an increase in oxide thickness. Thus, C ox is reduced and V T is raised. The magnitude of the effect is examined in Exercise 13.2. 13.1.9 Silicon-on Insulator FET The Si MOSFET that we have discussed so far is a bulk-CMOS FET; it is by far the dominant transistor in high-performance CMOS circuitry. Here, we briefly mention the silicon-on-insulator (SOI) FET, which has some interesting attributes. 10 In the cross- section shown in the top part of Fig. 13.13, a layer of silicon oxide is implanted into the silcon wafer, and the CMOS FETs are then defined in the overlying surface layer of silicon. Understandably, the oxygen implant disturbs the crystallinity of the Si surface layer, and it is costly to recover the perfection of this critical region. Presently, a more widely used way of forming an SOI structure is to rely on van der Waals forces to bond one Si wafer to the oxide-coated surface of another Si wafer (wafer B), and then to reduce the thickness of wafer B to end up with a structure similar to that shown in Fig. 13.13. This process is known as ‘Smart Cut’ [7, p. 146], and is also relatively expensive. 10 Both AMD and IBM use SOI in some of their high-performance offerings. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 241 STI STI buried oxide substrate 0 0 0 0.517 v 2.25e-08 4.5e-08 6.75e-08 9e-08 1 5e-09 1e-08 1.5e-08 2e-08 Figure 13.13 Silicon-on-insulator (SOI) CMOS. The top part is a cross-section of a thin-body device. The bottom part shows the field lines from a 2-D solution to Laplace’s Equation for an arrangement similar to that of the N-FET. Specifically, the simulation is for a 90-nm channel in a 16-nm Si body, with 2-nm oxides and gates on the top and on the bottom. The top figure is courtesy of Alvin Loke, AMD, and the bottom figure is courtesy of Daryl Van Vorst, UBC. The source and drain regions reach through to the buried oxide, so there is no ‘floor’ component of parasitic capacitance at the source/body and drain/body np-junctions. An additional speed improvement is possible from the dynamic threshold-voltage effect, which is a consequence of the body of the transistor being isolated from the substrate. When the FET is turned on by a positively increasing V GS , the potential V B of the floating body also rises, momentarily augmenting the forward bias across the source- channel junction, and increasing the current. Another useful property can result if both the upper silicon layer and the buried oxide are thin, and if the underlying substrate is heavily doped. Under these circumstances, the field issuing from the drain preferentially penetrates the two thin oxides and terminates on the gate and the substrate, as shown in the bottompart of Fig. 13.13. Thus, the potential ψ s (0) at the source end of the channel is screened from the applied drain potential V D , and the short-channel effect is reduced. The thin oxide/substrate combination acts like a ‘bottom’ gate, making the SOI FET a precursor of the anticipated multiple gate FET, one example of which is the FINFET [8]. A truly ‘three-terminal’ FET, i.e., one with no body-effects to be concerned with, would result if the silicon body layer could be made sufficiently thin for it to be fully depleted. However, obtaining such a thin semiconducting layer with reproducible and P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 242 13 Transistors for high-speed logic Oxide DRAIN y OXIDE E l e c t r o n e n e r g y (a) (b) D G S B p Body C E V E 2 3 4 1 Source n + Drain n + metal GATE Fn E 1 FG E Figure 13.14 Leakage and off currents: (a) 1. oxide tunnelling; 2. sub-threshold conduction; 3. gate-induced drain leakage; 4. drift of minority carriers across the reverse-biased drain/body junction. (b) Detail of gate-induced drain leakage GIDL. useful properties is proving difficult. In the meantime, the insulating layer of partially depleted SOI FETs isolates devices from each other, which is good for RF circuitry. It can also help de-couple noisy logic blocks from sensitive analogue circuitry, which is good in mixed-signal applications. 13.1.10 Power dissipation The various DC leakage and sub-threshold currents in an N-FET are sketched in Fig. 13.14. When the device is ON, a high drain current is required and, ideally, this will be the current supplied by the source, i.e., the current drawn from the power supply. If the latter is more than I D , it is indicative of the presence of current leakage paths in the transistor. Leakage to the gate via tunnelling is one such path (see Section 13.1.6). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 243 One way to mitigate this effect is to use thin oxides only where they are absolutely necessary, e.g., for the transistors in the core of a microprocessor. For other transistors, such as those in the input/output parts of the chip, a thicker oxide is used. Other possi- bilities for leakage are via the substrate. One such component is the usual current in a reverse-biased np-junction, while another is referred to as gate-induced drain leakage (GIDL). The latter is due to band-to-band tunnelling in the depletion region where the gate overlaps the drain (see Fig. 13.14b). The mechanism is that of Zener breakdown, as occurs in np diodes with heavy doping on either side of the junction. GIDL has assumed importance because of the very high fields arising from the heavy doping of the pocket implant. As V GB increases, the bands become more bent, and the leakage current increases. The above leakage currents exist when the transistor is ON, i.e., when V GS > V T . Current can also be drawn from the power supply when the transistor is OFF, i.e., when V GS - V T , but V DS > 0. Such currents are the junction leakage current, and the sub-threshold current due to injection from the source. The latter is non-zero because of the influence of the field from the drain on ψ s (0), as discussed above. As we have mentioned before, it is necessary in highly integrated circuitry to make the OFF/ON ratio as small as possible. Ideally, switching between OFF and ON would be marked by a vertical transition in the I D − V GS plane. In fact, the transition has a finite slope (see Fig. 13.9). The usual metric for this transition is the inverse sub-threshold slope: it is the change in V GS needed to reduce I D by a factor of 10. From (10.55) S = _ ∂ log 10 I D ∂V GS _ −1 ≡ 2.303m V th . (13.10) Evidently, in this type of transistor, the minimum value of S is about 60 mV/decade. In practical MOSFETs, values are slightly higher than this (closer to 100 mV/decade) because m is greater than its ideal value of unity. Recall that m(0) = 1 ÷C b (0),C ox , so any increase in the ionic space charge in the substrate under the source-end of the channel will raise C b (0) and, consequently, increase m. This is why Fig. 13.9 shows a significant degradation (increase) in S when L is reduced. It is further evidence of the need to control the short-channel effect. Dynamic power dissipation A basic CMOS switch is shown in Fig. 13.15a: it comprises a power supply, an inverter, and two capacitors, C ÷ and C − , which, together, constitute the total capacitance C X at the output node. C X represents the intrinsic and extrinsic capacitances of the transistors in both the logic gate and in the element that it drives, and, also, the interconnect capacitance. C X is charged through the P-FET and discharged through the N-FET. 11 The switching events over several clock periods are shown in Fig. 13.15b. During discharge 11 For these processes to occur over similar time periods it helps if the two transistors have similar saturation currents, e.g., using LEVEL 1 equations ((10.34)), [V T [ and the ratio Zj,L should be similar for each transistor. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 244 13 Transistors for high-speed logic + (a) (b) IN OUT v time T IN OUT C + PS C − i V PS i PS Figure 13.15 Switching in a simple CMOS logic gate. (a) Equivalent circuit. (b) Voltage and current waveforms. of the capacitor C − , : I N is HI and the P-FET is OFF. The output goes LO and C ÷ charges up. The current drawn from the power supply during this event is i PS = C ÷ ∂ ∂t (V DD −: OUT ) . (13.11) The average power dissipated during this half-period T,2 is P a: = 1 T,2 _ T,2 0 (V DD −: OUT )i PS dt = 2 f _ V DD 0 (V DD −: OUT )C ÷ d(V DD −: OUT ) = f C ÷ V 2 DD . (13.12) where f is the clock frequency. Over the next half-period, C ÷ is discharged and C − is charged, thus, over one clock period, the average power dissipated per gate is P a: = f V 2 DD (C ÷ ÷C − ) = f V 2 DD C X . (13.13) This equation highlights the importance of reducing the power-supply voltage as clock frequencies increase. Static power dissipation Recall that when a MOSFET is supposedly OFF (V GS = 0), there can be a sub-threshold current if V DS > 0. From the description of sub-threshold current in Section 10.5, it follows that the static power dissipation per inverter is P(static) ≈ V DD I D.sub-threshold . (13.14) In a CMOS technology capable of placing 10 8 transistors per cm 2 , it is easy to appreciate howminuscule static power dissipation in a single transistor can become a major concern P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.1 Si CMOS 245 m dV GS /dt GD GDO JD GB SD G D S B GSO I D,ON I I GD,tun I GS,tun D,subt C ′ C ′ C ′ C ′ C ′ C ′ C ′ C ′ C ′ GS JS D R S R G R Figure 13.16 Large-signal equivalent circuit for the MOSFET. at the chip level. The continued control of it via suppression of the short-channel effect, principally DIBL, is vital for the progression to future technology nodes. 13.1.11 Large-signal equivalent-circuit model To capture the effect of switching, the parasitic resistances and the intrinsic and extrinsic capacitances of the MOSFET must be added to the DC equivalent circuit of Fig. 10.16. The source and drain resistances arise mainly from the lateral path between the contact metallization and the channel. Obviously, reducing the junction depth y j to combat the short-channel effect is not helpful in reducing R S and R D . In practice, the source and drain regions are thickened after the obligatory narrow region near to the channel (see Fig. 13.1). The gate resistance shown in the equivalent circuit of Fig. 13.16 is that of the gate metallization. The full suite of intrinsic and extrinsic capacitances described in Section 12.2 are represented by appropriate capacitors. Also shown is the gate/body intrinsic capacitance, which serves to remind us that we neglected body-related capacitances in Chapter 12. 12 Finally, the single current source of the DC circuit in Fig. 10.16 has been expanded into sources representing the ON current, the sub-threshold current, and the tunnelling currents to the gate. 12 Note that because of the inexorable scaling of the transistor, its capacitances can now be smaller than the capacitances of the wiring that connects devices together. To reduce this latter capacitance, low-k dielectric materials are used between the wiring levels of modern, high-performance ICs. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 246 13 Transistors for high-speed logic 13.2 Emitter-coupled logic For reasons given elsewhere in this chapter, CMOS is unrivalled when it comes to ultra-dense digital circuitry. However, if the issue is one of raw speed only, then emitter-coupled logic (ECL), fashioned from high-performance HBTs, can outperform CMOS. The price paid for this speed advantage is a higher transistor count per gate (see Fig. 13.2b), and a higher static power drain. Whereas the ECL NOR gate of Fig. 13.2b has the same number (4) of switching transistors as the comparable CMOS gate shown in Fig. 13.2a, it requires additional circuitry to provide the current bias, and to shift the level of the output signal so that it is appropriate for driving other gates. The current-bias circuitry provides a constant current that is switched between the main, emitter-coupled transistors of the gate, depending on which of these transistors is ON (according to the logic levels of the input signals). Because in HBTs I C increases exponentially with input bias, it doesn’t take much change in V BE to switch a large current. 13 Further, because charge flow in an HBT is not constricted by a narrow channel, the current can be large. Thus, a given charge can be sourced or sunk in a very short time. As the current is always present in one branch or another of the circuit, the static power drain is large. The speed advantage of ECL can only be realized if the transistors are biased in the active region when they are ON, and not in the saturation regime. To illustrate the drawback of switching from the saturation regime in HBT digital circuits, consider the example of a simple inverter using resistor-transistor logic (RTL), as shown in Fig. 13.17. It can be seen that I C at the HI bias point does not increase with I B , but is limited by the voltage drop across the load resistor R L . Thus, ‘saturation’ in an HBT is a circuit phenomenon, and does not refer to the constant-current portion of the actual transistor characteristic, which is the active regime. In saturation, both junctions are forward biased, so there is a large concentration of excess electrons in the base. 14 Turning the logic gate OFF is initiated by switching the input voltage V BE to zero (see Fig. 13.18a). The excess carriers now start to flow out of the base, and there is some net recombination nowthat the supply of minority carriers fromthe emitter and collector has been turned off. The minority carrier concentrations at the edges of the quasi-neutral base depend on the actual applied-voltage drop across the junctions (see (6.29)). Put another way, V aj depends logarithmically on the carrier concentrations. Thus, as these carriers exit the base, the actual junction biases change only slowly. Therefore, the collector current maintains its value. The base current is also approximately constant, but at a negative value determined by V aj.BE ,R B , where R B is the resistance in the base lead (see Fig. 13.19). Only when the excess electron concentration at the collector edge of the base quasi-neutral region has been reduced to zero (at time t 3 in Fig. 13.18b) does i C start to fall towards its cut-off value, which characterizes the OFF, or logic-LO, 13 As discussed in Section 10.5, LV = 0.24 V will cause a 10 000-fold change in current. 14 Recall from Chapter 6 that the base remains quasi-neutral, so there are also many excess holes present in the base in the saturation mode. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 13.2 Emitter-coupled logic 247 Saturation Active Load line, slope = – Cutoff V CC V CC V CC V CE V CE 1 0 R L I B I B I C I C R L R L Figure 13.17 Determination of the operating point, using a simple RTL inverter as an example (see inset), by the superposition of the transistor’s collector-current characteristic and the resistor’s load line. From Pulfrey and Tarr [9]. state. 15 At this time, i B finally inclines towards the zero value that is to be expected when V BE = 0. In the sequence of excess-electron profiles shown in Fig. 13.18b, the negative slope near the emitter edge is indicative of the diffusive outflow to the emitter lead. Also shown is the initial excess electron profile for a logic HI state in the active regime. As the excess electron concentration at W B is already zero, because of the reverse-biased base/collector junction, i C starts to decay immediately after V BE is switched to zero. Thus, the delay when switching from the saturation state can be viewed as the time taken to remove the excess charge shown by the shaded region in Fig. 13.18b. 13.2.1 Large-signal equivalent-circuit model The large-signal equivalent-circuit model for an HBT is shown in Fig. 13.19. Capacitors representing all the various capacitances discussed in Section 12.3 have been added to the dc equivalent circuit of Fig. 9.9. Diodes representing the back-injection and 15 The symbol i C is used to indicate a time-dependent collector current. I C is a dc current. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 248 13 Transistors for high-speed logic (b) (a) x 0 0 t 3 2 1 0 0 0 0 0B n − n t = 0 t = 2 t = 3 t = 1 B W C i B i BE v v, i Figure 13.18 Switching out of the saturation mode. (a) Voltage and current waveforms. (b) Evolution of the excess electron profile in the base during switching. The profile for biasing in the active mode of operation is also shown (stippled line). B I R B CB C EB C B E C I S (e V BE / V th − e V BC /V th ) I E I B,rec I ) BC (V B,rec I ) BE (V C B,inj I ) BC (V I B,inj ) BE (V E R C R Figure 13.19 Large-signal equivalent circuit of the HBT. The collector current source is from Fig. 9.9. base-recombination components of the base current are also shown. By providing a set of diodes for each junction, the circuit can be used for all modes of operation of the HBT. Exercises 13.1 Tensile strain can improve the electron mobility in Si N-FETs by lowering the energy of the L 2 valleys with respect to the L 4 valleys (see Fig. 13.5). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 249 If a particular stress results in 80% of the electrons residing in the L 2 valleys, estimate the percentage improvement in electron mobility with respect to the unstrained case. 13.2 For a CMOS65 N-FETthe band bending in the semiconductor is steep enough that the potential-energy profile near the silicon/oxide interface can be approximated as a rectangular potential well of infinite height. All the electrons can be taken as residing in the first energy sub-band (see Fig. 13.12), which is located 0.377 eV above the conduction-band edge. The electron effective mass can be taken as m 0 . (a) Estimate the percentage change in effective oxide capacitance due to consid- eration of this electron confinement. (b) Estimate the change in threshold voltage due to this quantum-mechanical effect. 13.3 In a particular CMOS technology, C ox can be taken as 2 10 9 c 0 Fm −2 , and the electron affinity of the semiconductor Si can be taken as 4 eV. Two dielectrics, Oxide P and Oxide Q, are being considered as replacement gate oxides to double C ox . The electron affinity and relative permittivity for Oxide P are 1 eV and 8, respectively, whereas the corresponding values for Oxide Q are 4 eV and 16. Which material would make the more practical dielectric, and why? 13.4 For a symmetrical, rectangular potential barrier of thickness d and height U 2 the tunnelling transmission probability can be approximated by (5.56) T ≈ exp _ − 2d _ 2m ∗ (U 2 − E) _ . (13.15) where the electron effective mass m ∗ is assumed to be the same in all regions of the structure. Assume that this situation applies to tunnelling through the oxide in a silicon-gate Si MOSFET. In Si CMOS technology the gate oxide has been silica (c r = 3.9 and electron affinity χ = 0.9 eV) but the change is being made to a hafnium-silicon oxynitride, for which we guess the relative permittivity to be c r ≈ 4 3.9, and the electron affinity to be χ = 2.9 eV. What thickness of hafnia is needed for T(E = 0.2 eV) to be equal to that for silica of thickness 2 nm? 13.5 Compute the gate leakage current due to tunnelling from a continuum of states for the two insulators considered in the previous question at V GB = 1 V. 13.6 A microprocessor made from CMOS65 FETs operates at a clock frequency of 3 GHz. What percentage of transistors on the chip would need to be switching simultaneously if the static power dissipation were to equal the dynamic power dissipation? 13.7 An Npn GaAs-based HBT has a quasi-neutral basewidth that is much shorter than the minority-carrier diffusion length, but that is much greater than any changes in depletion-region widths that may occur on switching. The transistor is biased in the saturation regime, with V BE slightly greater than V BC . (a) Sketch the electron concentration profile in the quasi-neutral base. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 250 13 Transistors for high-speed logic (b) On the same sketch, add a line showing the electron concentration profile at the instant the collector current would begin to decrease after V BE had been reduced to zero. (c) Let the base doping density be N A = 10 19 cm −3 , the quasi-neutral basewidth be 50 nm, and the cross-sectional area be 2 µm10 µm. Consider the transis- tor to be in the common-emitter configuration with V BE = 1.3 V. The condi- tions are such that the transistor is in the saturation regime with V BC = 1.2 V. On switching-OFF the transistor, assume that electrons are removed from the quasi-neutral base only by recombination. How long does it take before the collector current begins to change? References [1] International Technology Roadmap for Semiconductors (ITRS). Online [http://public.itrs.net/]. [2] G.E. Moore, Cramming More Components onto Integrated Circuits, Electronics Magazine, vol. 38, 114–117, 1965. [3] C. Kittel, Introduction to Solid State Physics, 3rd Edn., Chap. 4, John Wiley & Sons Inc., 1968. [4] S.E. Thompson, G. Sun, Y.-S. Choi and T. Nishida, Uniaxial-process-induced Strained-Si: Extending the CMOS Roadmap, IEEE Trans. Electron Dev., vol. 53, 1010–1018, 2006. [5] S.E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Glass, T. Hoffman, J. Klaus, Z. Ma, B. Mcintyre, A. Murthy, B. Obradovic, L. Shifren, S. Sivakumar, S. Tyagi, T. Ghani, K. Mistry, M. Bohr and Y. El-Mansy, A Logic Technology Featuring Strained-silicon, IEEE Electron Dev. Lett., vol. 25, 191–193, 2004. [6] S.-E. Ungersb¨ ock, Advanced Modeling of Strained CMOS Technology, Ph.D. dissertation, Technical University of Vienna, 2007. Online [http://www.iue.tuwien.ac.at/phd/ungersboeck/]. [7] J.D. Plummer, M.D. Deal and P.B. Griffin, Silicon VLSI Technology: Fundamentals, Practice and Modeling, Prentice-Hall, 2000. [8] J. Kretz, L. Dreeskornfeld, R. Schr¨ oter, E. Landgraf, F. Hofmann and W. R¨ osner, Realization and Characterization of Nano-scale FinFETDevices, Microelectronic Engineering, vol. 73–74, 803–808, 2004. [9] D.L Pulfrey and N.G. Tarr, Introduction to Microelectronic Devices, Fig. 11E.7, Prentice-Hall, 1989. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14 Transistors for high frequencies The large-signal models of Fig. 13.16 and Fig. 13.19 are to be used when considering DC and switching operations. High-frequency operation is governed by the small-signal performance of transistors. It is insightful, and computationally efficient, to develop a small-signal model of the transistor by linearizing the large-signal model. Our approach is to linearize via a Taylor series expansion, and then manipulate the resulting equivalent circuit so that it can be used to define and evaluate two important high-frequency metrics: f T and f max . Small-signal operation is illustrated in Fig. 14.1, which shows a simple amplifier. The base-emitter DC bias is supplied from the power-supply V BB via a resistor, and the small AC signal is supplied from : in via a capacitor. The transistor is of the bipolar variety, in recognition of the fact that bipolar transistors (BTs) have traditionally been dominant in high-frequency applications. This dominance has been due principally to the BT’s transconductance being inherently superior to that of FETs, as we will show later in the chapter. Presently, the world record for f T is 710 GHz [1], and is held by an HBT based on the sleek-looking device shown in Fig. 14.2. However, nowadays, FETs are encroaching into the high-frequency domain: HEMTs using high-mobility semiconductors based on GaAs and InP can yield devices with very high transconductance; and MOSFETs employing silicon’s matchless technology can yield very small devices, for which the capacitance is very low. Because of this involvement of both transistor types (bipolar and field-effect) in high-frequency circuits, we start the chapter with the development of a generic small-signal equivalent circuit, before going on to discuss the particular merits of each transistor type. 14.1 Quasi-static analysis In this chapter the analysis we perform is of the quasi-static variety. The meaning of this was presented in Section 12.1 during the defining of capacitance. Recall that the implication of this type of analysis is that information on the behaviour of the device cannot be obtained for time periods approaching that of the transit time of charge carriers within the device. As we indicated in Section 12.1, this is not a particularly severe restriction. However, if one does need to know the current in a device over periods of 10s–100s of femtoseconds, for example, then it is necessary to perform a 251 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 252 14 Transistors for high frequencies in V v BB V CC v out + + Figure 14.1 Simple amplifier circuit showing a small-signal AC input : in , and the DC biasing of the input via V BB . Figure 14.2 The record-breaking HBT from the University of Illinois. Reused with permission from Walid Hafez and Milton Feng, Applied Physics Letters, 86, 152101 (2005) [2]. Copyright 2005, American Institute of Physics. non-quasi-static analysis. This involves the solution of the full, time-dependent version of our master equation (5.24). A sub-set example of this is the Hydrodynamic Equa- tions (5.22). The presence of time derivatives and time dependencies in these equations makes their exact solution difficult to obtain. Even using a simple device structure, and after making many assumptions, obtaining an analytical solution is a lengthy proce- dure [3]. Generally, numerical methods are required to carry out a full, non-quasi-static analysis. In the following, we rely on the quasi-static approach; the implications being that the charge at any point r in the device, at any time t / , is determined by the instantaneous value of the applied voltages, irrespective of the previous history of the biasing. It’s worth emphasizing this by expressing it in equation form: q(r. t / ) = f (V terminals . t / ) ,= f (V terminals . t - t / ) . (14.1) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14.2 The generic small-signal model 253 14.2 The generic small-signal model Recall the generic transistor of Fig. 12.1, and let us use the notation i J (t ) = I J ÷i j (t ) J. j = 1. 2. 3 . (14.2) where i J is the total current at one of the three terminals J of a transistor, and comprises a DC component I J , and a small-signal AC component i j . Let us take J. j = 2 as an example, and take it to represent either the collector current in an HBT or the drain current in a FET. Further, let us use terminal 1 (the emitter or source) as the reference for potential. Thus, using the quasi-static approximation, the total current i 2 depends on the instantaneous values of the terminal voltages V 21 ÷: 21 and V 31 ÷: 31 . The next step is to linearize the expression for the current by taking the Taylor series expansion for the total current i 2 to first order, i 2 = I 2 (V 21 ÷: 21 . V 31 ÷: 31 ) = I 2 (V 21 . V 31 ) ÷ ∂ I 2 ∂V 21 : 21 ÷ ∂ I 2 ∂V 31 : 31 ≡ I 2 ÷ g 22 : 21 ÷ g 23 : 31 . (14.3) where the g’s are conductances, names for which will be assigned later. By restricting the Taylor series expansion to produce a linear relationship, it is implicit that the second- and higher-order terms can be neglected. For FETs, this is not much of an approximation because I 2 ≡ I D , for example, is already linear in V 31 ≡ V GS and V 21 ≡ V DS in the resistive regime, and the exponent of any relations in the saturation regime never exceeds 2. However, for bipolar devices, I 2 ≡ I C depends exponentially on V 31 , so the linearization places a severe restriction on the allowable magnitude of the small signal: : 31 ≡ : be _2kT,q ≈ 50 mV at 300 K. Applicable to BTs. (14.4) Performing a similar Taylor series expansion for i 3 , the gate or base small-signal current, yields i 3 = ∂ I 3 ∂V 31 : 31 ÷ ∂ I 3 ∂V 21 : 21 = g 33 : 31 ÷ g 32 : 21 . (14.5) where the new g’s are to be named later. The equivalent circuit representing the small-signal components is shown in Fig. 14.3a. It is often convenient to turn this two-generator equivalent circuit into a one-generator circuit. One version of the latter is shown in Fig. 14.3b. 1 Don’t be alarmed by the 1 It is instructive to convince yourself that the two circuits of Fig. 14.3 are, indeed, equivalent. Do this by showing that the terminal currents are the same in each case. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 254 14 Transistors for high frequencies 32 + g 22 2 2 3 3 1 1 (b) (a) )v g 21 v 32 g 22 g 31 32 − g 23 (g 32 + g 33 g 32 −g 31 v 23 g 33 g Figure 14.3 Small-signal AC equivalent circuits for all transistors. (a) Two-generator model. (b) One-generator model with the same terminal characteristics as the circuit in (a). appearance of a negative sign in front of g 32 , as, in fact, g 32 itself is negative. 2 Thus, the conductor in the top branch of the circuit is real. g 32 is often called the reverse feedback conductance. Generally speaking, [g 32 [ is much smaller than the other conductances, so it is common practice to name the other conductances as follows: g 33 ÷ g 32 ≈ g 33 input conductance g 23 − g 32 ≈ g 23 transconductance g 22 ÷ g 32 ≈ g 22 output conductance. The naming of the transconductance deserves some comment. Recall the term ‘transcapacitance’ in Chapter 12: it referred to a circuit element that allowed for the non-reciprocity of capacitances, i.e., the fact that the effect of terminal 2 on terminal 3 as regards charging currents may be different from the effect of terminal 3 on terminal 2. The transconductance performs exactly the same function, but for the transport currents, i.e., those currents involving only conductive components. Transconductance is denoted by the symbol g m and it follows that g m ≡ g 23 − g 32 ≈ ∂ I 2 ∂V 31 . (14.6) Interestingly, the ‘approximate’ sign in (14.6) is usually replaced by an equals sign, implying a definition of transconductance that we have just shown to be strictly incorrect. However, practically, g m can be evaluated this way because g 23 ¸[g 32 [. 2 Taking the bipolar case as an example, recall g 32 = ∂ I B ,∂V CE . As V CE is increased (in the active mode), the quasi-neutral basewidth shrinks and there is less recombination in the base, so I B decreases. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14.3 Hybrid-π small-signal model for HBTs 255 /dt (g 31 dv m C 31 )v 32 − g 23 1 2 3 + g C 12 C 31 C 32 32 22 g 32 −g 32 + g 33 g Figure 14.4 Generic, linearized, hybrid-π, small-signal, equivalent circuit. Emitter E B B C C E cap Base Sub–collector Collector R C C EB R E C CB R B,sp R B,acc Figure 14.5 HBT structure of Fig. 9.1 with parasitic C’s and R’s superimposed. With some imagination, the circuit of Fig. 14.3b can be viewed as having the shape of the Greek letter π. The next step in the development of the small-signal equivalent circuit is to add capacitors to represent the various charge-voltage relationships derived in Chapter 12. The resulting circuit is shown in Fig. 14.4. It is a hybrid circuit because it brings together two sets of components that were derived using different models. Because of this, and its topology, the circuit is known as the hybrid-π equivalent circuit. 14.3 Hybrid-π small-signal model for HBTs To make the generic circuit of Fig. 14.4 specific to HBTs, first consider Fig. 14.5, which shows a superposition of the capacitors and parasitic resistors on an actual HBTstructure. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 256 14 Transistors for high frequencies be v m g p e c b C g p i b R b C R c R i m e e i c Figure 14.6 The circuit of Fig. 14.4 with some omissions (see text), and with new labelling for the remaining components and for the terminals. Note the AC short between the collector and emitter terminals, as applies to the measurement of f T . The resistors represent: the resistance of the quasi-neutral emitter; the resistance of the quasi-neutral collector and the path followed by the collector current to the actual collector contact; and the resistance of the base. The latter comprises an access resistance (R B.acc ) due to the path fromthe base contact to the edge of the base quasi-neutral region, which is easy to envisage, and a less obvious component (R B.sp ) that must account for the spreading nature of the resistance in the quasi-neutral base. R B.sp is considered in more detail in Section 14.6.1. Transferring the resistors and capacitors to the hybrid-π circuit yields Fig. 14.6. The circuit is for the case of an HBTbiased in the active mode of operation, so it is permissible to ignore g 32 . Also, the output conductance has been omitted because it has a near-infinite value in the active mode (see Fig. 9.6). As we sawin Section 12.3, transcapacitance is not an issue in HBTs, at least as regards junction and storage capacitances, so we omit it here. C / EC is also omitted as there is negligible effect at the emitter of any change in V CB when the transistor is in the active mode. Following tradition, the input conductance is labelled as g π , the base-emitter capacitance is labelled as C π , and the base-collector junction capacitance is labelled as C j . The AC short-circuit at the output is the effective result of holding constant the DC bias V CE . This is the condition under which the frequency metric f T , is determined, as we now describe. 14.4 f T : the extrapolated unity-current-gain frequency Fig. 14.7 shows experimental data for the frequency dependence of the square of the magnitude of the current gain [i c ,i b [ 2 of an HBT. Note how the measured data ends at a frequency that is imposed by the capabilities of the measuring equipment. However, for a decade or so before this frequency limit, the gain appears to be rolling-off at −20 dB/decade, which is indicative of an RC-circuit with a dominant single pole. The P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14.4 f T : the extrapolated unity-current-gain frequency 257 40 30 20 10 0 0.1 1 10 Frequency (GHz) 100 1000 G a i n ( d B ) Emitter Area = 0.4 × 6 µm 2 V CE = 0.907 V V CB = 0 V J C = 16.82 mA/µm 2 −20 dB/decade f T = 604 GHz f MAX = 246 GHz h21 U MSG/MAG Figure 14.7 Experimental data for f T and f max , illustrating extrapolation at −20 dB/decade from lower frequencies. The label for [i c ,i b [ 2 should be [h 21 [ 2 , rather than h 21 . Reused with permission from Walid Hafez and Milton Feng, Applied Physics Letters, 86, 152101 (2005) [2]. Copyright 2005, American Institute of Physics. gain can be extrapolated at this slope to higher frequencies, and the frequency at which the gain becomes unity (0 dB), is called the extrapolated f T , or, simply, f T . The object of the following subsection is to derive an expression for f T , starting from the equivalent circuit that we have developed. This expression will identify the components of the transistor that need to be considered if high-frequency performance is to be attained. Because we are seeking a frequency range at which there is a single-pole roll-off, we will systematically simplify the expressions in our derivation to arrive at a gain that is proportional to 1,ω 2 . You may be wondering why anyone would wish to know a frequency that cannot be measured, and that is associated with an impractically low value of gain? The answer is two-fold: it is a definite figure that canbe usedtocompare transistors and, byextrapolating back from f T at ÷20 dB/decade, the current gain at useful operating frequencies can be readily ascertained. 14.4.1 An expression for f T Measurements of the current gain for evaluation purposes are performed with the col- lector and emitter terminals held at constant potentials. Thus, as far as the AC signal is concerned, the emitter is shorted to the collector. This is the case shown in Fig. 14.6. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 258 14 Transistors for high frequencies Using the notation of this figure, it follows that i b = [g π ÷ j ωC π ] : be ÷ j ωC j : bc i c = g m : be − j ωC j : bc . (14.7) To eliminate : bc from the above expressions, note : bc = : be ÷: ec = : be ÷i e R e ÷i c R c = : be ÷(i b ÷i c )R e ÷i c R c ≈ : be ÷i c (R e ÷ R c ) . (14.8) where, to simplify the following algebra, the assumption has been made that i b R e _i c (R e ÷ R c ). i.e.. i c ,i b ¸ R e ,(R e ÷ R c ) . (14.9) As we expect the current gain to be much greater than unity, this assumption is justifiable. Substituting for : bc in the expression for i c yields i c = g m − j ωC j 1 ÷ j ωC j R ec : be . (14.10) where R ec = R e ÷ R c . The square of the magnitude of the collector current is [i c [ 2 = g 2 m ÷ω 2 C 2 j 1 ÷ω 2 C 2 j R 2 ec : 2 be . (14.11) To reduce this to an expression having the desired frequency independence, the following two conditions must be met: ω 2 _ g 2 m C 2 j Condition 1, ω 2 _ 1 C 2 j R 2 ec Condition 2. These conditions set an upper limit to the frequency at which the extrapolation can start. Turning now to the base current; substituting for : bc in the expression for i b yields i b = _ g π ÷ j ωC π ÷ j ωC j (1 ÷ R ec g m ) _ : be . (14.12) The square of the magnitude of the base current is [i b [ 2 = _ g 2 π ÷ω 2 (C π ÷C j (1 ÷ R ec g m )) 2 ¸ : 2 be . (14.13) To reduce this to an expression having the desired dependence on frequency of ω 2 , the following condition must be met: ω 2 ¸ g 2 π _ C π ÷C j (1 ÷ R ec g m ) _ 2 Condition 3 . (14.14) This condition sets a lower limit to the frequency at which the extrapolation can start. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14.5 Designing an HBT for high f T 259 Finally, assuming that the three conditions above are satisfied, the expression for the square of the magnitude of the current gain is ¸ ¸ ¸ ¸ i c i b ¸ ¸ ¸ ¸ 2 = g 2 m ω 2 (C π ÷C j (1 ÷ g m R ec )) 2 . (14.15) This expression is in the desired form as the square of the magnitude of the current gain has a −20 dB/decade roll-off with increasing frequency. When extrapolated to a current gain of unity, the resulting frequency, in this common-emitter, short-circuit condition, is called the extrapolated f T , or simply f T . From (14.15) 2π f T = g m C π ÷C j (1 ÷ g m R ec ) . (14.16) 14.5 Designing an HBT for high f T For design purposes it is helpful to break-up (14.16) into components that can be identified with various regions of the device, which can then be targeted for improvement if necessary. To do this, the reciprocal of f T is considered, so the resulting components have the dimensions of time, and are known as signal delay times. Each time is in the form of an RC-time constant, and can be thought of as the time taken for the charge in a particular region to adjust to the new value demanded by the small-signal at the input of the transistor. From (14.16) an overall signal delay time τ EC can be expressed as τ EC = 1 2π f T = C π g m ÷ C j (1 ÷ g m R ec ) g m = C / EB. j g m ÷ C / EB.b g m ÷ C / BE.t g m ÷C / CB _ 1 g m ÷ R ec _ ≡ τ E ÷τ B ÷τ C ÷τ CC . (14.17) where the components of C / EB and C / BE discussed in Section 12.3 have been substituted for C π , and the collector-base junction capacitance has been substituted for C j . From (12.23), the emitter signal delay is the time taken to charge the emitter/base junction capacitance via the dynamic resistance (1,g m ) of the transistor: τ E = c s A E g m W . (14.18) The signal delay associated with the quasi-neutral base follows from (12.27) and (9.11): τ B = W B 2 _ W B D n ÷ 1 : R _ . (14.19) The signal delay associated with the change in field in the base/collector depletion region due to the passage of the electrons carrying the signal current follows from (12.36): τ C = n 2: sat . (14.20) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 260 14 Transistors for high frequencies Finally, the charging of the base/collector junction capacitance via the dynamic and parasitic resistances follows from (12.37): τ CC = c s A C n _ 1 g m ÷ W E A E σ E ÷ W C A C σ C _ . (14.21) where A E and A C are the areas of the two junctions, W E and W C are the widths of the quasi-neutral-emitter and collector, respectively, and the σ’s are the relevant conductivities (see Section 5.4.2). As in a MOSFET, the key to better performance is scaling. Lateral scaling reduces A E and A C . Vertical scaling reduces W B and the base/collector depletion-region width n. In high-performance InGaP/GaAs HBTs, for example, W B is so short (_50 nm) that the major delay in the device is no longer the base delay. That dubious honour is shared by the two delays associated with the collector. Note from (14.20) and (14.21) that a compromise must be reached regarding n as it affects τ C and τ CC differently. To get an idea of how short the delays must become, realize that for f T = 800 GHz, the overall signal delay τ EC would have to be ≈200 fs. 14.5.1 SiGe HBT Fig. 8.3 indicates that the choice of semiconductors for combining with silicon to make a near-lattice-matched HBT is limited. Germanium has many similarities to silicon, but its lattice constant is too different for it to be used directly as a low-bandgap base with a Si emitter. However, by varying the Ge mole fraction x in a compound of Si 1−x Ge x , a graded-composition base can be formed. An example is shown in Fig. 14.8, where the Ge content is varied from 0 to about 15% over a region spanning the p-type base. The bandgap decreases as the Ge mole fraction increases, yet the valence-band edge remains essentially flat in the base because of the very high p-type doping therein. Thus, the change in bandgap is manifest as a change in conduction-band edge, leading to the creation of an electric field that adds a component of drift to the usual diffusion current of electrons. This increase in current reduces the time needed to charge the capacitance C / EB.b , i.e., τ B is reduced. The derivation of an expression for τ B in a graded-base HBT is outlined in Exercise 14.8. The result is τ B = Q nB J e = W 2 B bD n _ 1 − 1 −e −b b _ ÷ W B : sat 1 −e −b b . (14.22) where b = LE g ,k B T, with LE g being the bandgap change across the base due to a linear compositional grading, and the electrons are assumed to exit the base at their saturation velocity : sat . The improvement in τ B due to base-grading is illustrated in Fig. 14.9. The stored charge is not much affected by base-grading, so the improvement in τ B is due almost entirely to the increase in current, which stems from the higher, field-assisted, electron velocity. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14.5 Designing an HBT for high f T 261 COLLECTOR EMITTER n Si Si + p BASE x E n e r g y Si Fn E C E V E E − n x 0 15 Ge % (no Ge) Si band edge Fp E Fn Figure 14.8 SiGe HBT. Top: energy-band diagram showing how the bandgap reduction in the base, as the Ge content increases, leads to a field that aids the passage of electrons. Bottom: a typical Ge profile. 0 0.02 0.04 0.06 0.08 0.1 0 0.5 1 1.5 2 2.5 3 3.5 4 Change in base bandgap (eV) N o r m a l i z e d v a l u e s J C Q nB t B Figure 14.9 Dependence of electron current, base charge, and base transit time on the bandgap difference between the ends of a linearly graded base of quasi-neutral basewidth W B = 100 nm, and for an electron diffusivity of 30 cm 2 s −1 . These parameters are typical of SiGe HBTs. J e , Q nB and τ B are all normalized to their values in an HBT with a uniform base-composition. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 262 14 Transistors for high frequencies e c e c b (b) (a) v in v p C m C b R be v m g Z L Th Th v Z open Figure 14.10 Equivalent circuits for estimating f max for bipolar transistors. (a) Simplified form of the circuit in Fig. 14.6. (b) The Th´ evenin equivalent. The ability to provide high currents and good high-frequency performance are bipolar- transistor attributes. On the other hand, FETs, in the formof Si CMOS, are unrivalled for dense, digital circuitry. The SiGe HBT provides silicon technology with the capability of integrating bipolar- and field-effect-transistors on the same chip. The result is BiCMOS, a powerful technology for mixed-signal applications. 14.6 f max : the extrapolated unity-power-gain frequency One important device parameter missing from the expression for f T is R b , the base resistance. This is because f T relates to the current gain, and any desired current can be forced through any resistance, provided enough voltage can be applied. In practice, there are limits to the available input voltage, so some metric that takes this into account is desirable: f max , an extrapolated frequency related to the power gain, is such a metric. Following the general procedure used in Section 14.4, we seek to develop an expression for the power gain that rolls-off with frequency at −20 dB/decade. Extrapolation at this gradient to a power gain of 0 dB yields f max . To develop an expression for f max consider the hybrid-π circuit of Fig. 14.6 and, for simplicity, ignore R e and R c . 3 The resulting circuit is shown in Fig. 14.10a. Note also that the input conductance is missing from the circuit: this is because we have supposed that the frequency from which we are going to make the extrapolation is high enough such that ω 2 ¸ g 2 π C 2 π Condition 4 . (14.23) where the numbering of the conditions follows-on from Section 14.4. 3 Including R e and R c leads to a very cumbersome expression for f max [4]. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14.6 f max : the extrapolated unity-power-gain frequency 263 The Th´ evenin equivalent of this circuit is shown in Fig. 14.10b. Expressions for the Th´ evenin voltage source : Th and impedance Z Th can be obtained using standard procedures from Network Analysis. The results are : Th = : in j ωC j − g m j ωC j (1 ÷ g m R b ) −ω 2 C j C π R b Z Th = R −1 b ÷ j ωC T j ωC j (g m ÷ R −1 b ) −ω 2 C j C π . (14.24) where C T = C π ÷C j . For maximum power transfer to the load, Circuit Theory tells us that the load impedance Z L should be the complex conjugate of Z Th . Under this circumstance, the total impedance of the circuit in Fig. 14.10b is Z circ = Z Th ÷ Z L = 2+(Z Th ) . (14.25) where the real part of Z Th is +(Z Th ) = −ω 2 C j C π R −1 b ÷ω 2 C j C T (g m ÷ R −1 b ) ω 2 C 2 j (ω 2 C 2 π ÷(g m ÷ R −1 b ) 2 ) ≈ C T C j g m . (14.26) where the considerable simplification is achieved by imposing the following assump- tions: C π ≈ C T in the numerator g m ¸ 1 R b in the denominator, and by invoking the following condition: ω 2 _ (g m ÷ R −1 b ) 2 C 2 π Condition 5 . It is understood that the magnitudes of the small-signal currents and voltages we are using are RMS quantities. Thus, we need [: Th [ 2 , which is given from (14.24) by [: Th [ 2 = [: in [ 2 ω 2 C 2 j ÷ g 2 m ω 2 C 2 j (1 ÷ g m R b ) 2 −ω 4 C 2 j C 2 π R 2 b ≈ [: in [ 2 R 2 b 1 ω 2 C 2 j . (14.27) where the simplification is achieved by invoking the following conditions: ω 2 _ g 2 m C 2 j Condition 6 ω 2 _ g 2 m C 2 π Condition 7 . (14.28) and by assuming, once again, that g m ¸1,R b . Thus, the output power under these conjugately matched conditions is P out.max = [: Th [ 2 4+(Z L ) ≈ [: in [ 2 4R 2 b g m ω 2 C j C T . (14.29) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 264 14 Transistors for high frequencies Turning now to the input power, we make use of an earlier assumption (C π ≈ C T ), which is equivalent to C j _C π . This allows the impedance looking into the input of the transistor to be written as Z in ≈ R b − j ωC π . (14.30) Replacing : in in Fig. 14.10a with a power supply of voltage : S , and with a series impedance of Z S that is conjugately matched to Z in , the condition for maximum power transfer to the transistor is met, and the input power is P in.max = [: S [ 2 4+(Z in ) = [: in [ 2 R b . (14.31) The maximum available gain is MAG = P out.max P in.max = g m 4ω 2 C j C T R b . (14.32) The frequency at which MAG = 1 is the extrapolated f max , or, simply f max . It is given by f max = ¸ f T.i 8πC j R b . (14.33) where f T.i = g m ,2πC T is the intrinsic f T , i.e., f T from (14.16) without considering parasitic resistances. Equation (14.33) emphasizes the need to have not only a transistor with good intrinsic high-frequency performance, but also a low base resistance to reduce absorption of the input power, and a high impedance 1,j ωC j to reduce feedback of power from the output. HBTs are particularly suited to decreasing R b because the heterojunction at the emitter/base interface can be specifically designed to impede back-injection of holes into the emitter. This allows the base doping density to be increased, thereby reducing R b without compromising the current gain. Reduction of C j is largely a question of making the active part of the base/collector junction as small as possible. 14.6.1 Base-spreading resistance A significant contribution to R b comes from the base-spreading resistance (R b.sp in Fig. 14.5). To estimate this resistance, consider the HBT with two base contacts shown in Fig. 14.11. The total base current I B is split between the two contacts, and the current I B ,2 at the left-hand contact is represented by arrows penetrating laterally under the emitter. Let us assume that this component of base current falls off linearly in the lateral direction y due to recombination with electrons injected from the emitter. Thus, the current associated with the left contact is given by I B (y) = I B 2 [1 − y,h] . (14.34) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14.6 f max : the extrapolated unity-power-gain frequency 265 B B Base E Emitter y h 0 0 Collecto r I B /2 I B R B,sp R B,acc Figure 14.11 Base spreading resistance. Top panel: illustrating the recombination in the quasi-neutral base of holes, laterally injected from the base lead, with electrons injected from the emitter. Bottom panel: showing the assumption of a base current varying linearly with y. where h is one half of the lateral extent of the base (see Fig. 14.11). We now relate this current to a power loss in the left-hand side of the device via P left (y) = I B (y) 2 R P left = _ h 0 I 2 B 4 _ 1 − y h _ 2 ρ dy A . (14.35) where ρ is the resistivity of the base material and A = ZW B is the cross-sectional area, with Z being the length into the page of the base and W B being the quasi-neutral basewidth. Performing the integration gives P left = 1 24 I 2 B ρ2h A . (14.36) where ρ2h A ≡ R B.QNB . (14.37) where R B.QNB is the actual resistance of the full quasi-neutral base region. Adding-in the power loss due to Joule heating in the right-hand side of the base, the total power dissipation is P = I 2 B 12 R B.QNB ≡ I 2 B R B.sp . (14.38) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 266 14 Transistors for high frequencies GD C /dt gs dv m G D S SD R R S R D g G C GS C ' ' ' ' gs C v m g dd Figure 14.12 The high-frequency, small-signal, linearized, equivalent circuit for a FET, with no leakage currents to the gate, and with no substrate effects. which defines the base spreading resistance R B.sp . Thus, the provision of two base contacts achieves the desired goal of reducing the effective base resistance. A value for R B.sp less than R B.QNB is to be expected as not all of the base current penetrates the full lateral width of the base. The total base resistance in Fig. 14.4 is R B = R B.acc ÷ R B.sp . (14.39) 14.7 f T and f max for FETs The small-signal, high-frequency, equivalent circuit for FETs follows directly from the general, hybrid-π circuit of Fig. 14.4. All one needs to do is: change the subscripts (1,2,3 become s,d,g, respectively); add resistors to represent the parasitic resistances of the source, drain, and gate; and make any appropriate simplifications. One can also maintain the topology of the large-signal equivalent circuit of Fig. 13.16, as we have done in Fig. 14.12. We have omitted the input conductance g 33 , which implies that there is no tunnelling or leakage through the oxide in the case of a MOSFET, nor any transport current in the Schottky diode of an HJFET. Additionally, the reverse-bias feedback conductance g 32 has been neglected, as was the case for the HBT. However, the output conductance (g 22 ≡ g dd ) has been retained because of the resistive nature of the channel that connects the source to the drain. Note that we have not included any components to represent the substrate: this is warranted for HJFETs on semi- insulating substrates, Si MOSFETs in the SOI technology, and coaxial nano-FETs (see Chapter 18), but it is optimistic for planar Si MOSFETs on substrates of appreciable conductivity. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 14.7 f T and f max for FETs 267 14.7.1 f T By following the procedure used for HBTs in Section 14.4.1, an equation very simi- lar to (14.16) can be derived for the extrapolated, common-source, unity-current-gain frequency of FETs: 2π f T = g m C gs (1 ÷ g dd R sd ) ÷C gd (1 ÷(g m ÷ g dd )R sd ) . (14.40) where R sd = R s ÷ R d . Observe that the equivalence of (14.16) and (14.40) becomes exact if g dd is less than both 1,R sd and g m . This correspondence emphasizes the basic similarity of transistor types. Obviously, a high transconductance is desirable for obtaining a high f T . Having a high mobility helps in this regard, and it is this attribute that makes InP and GaAs HEMTs and MESFETs well-suited to high-frequency applications. This is particularly the case for HEMTs, where the high-mobility of the starting semiconductor material is preserved by the device features of: undoped channel material; confinement of the channel charge, to a large extent, away from the interface; undoped spacer layer of barrier material for electrons that do spread into the barrier; finally, a point not mentioned in Section 11.3, less scattering than in the 3-Dcase because of there being one less dimension of freedom for electron movement. Low values for the gate capacitances C gs and C gd are also desirable. In HJFETs these capacitances are primarily reverse-biased junction capacitances, for which the dielectric is thicker than the oxide in MOSFETs. This is another reason for the dominance of HJFETs in high-frequency applications where field-effect transistors are employed. However, the superlative technology of Si CMOS means that very small structures can be realized, so low values of capacitance are also possible in Si MOSFETs. This is clear from measurements on a prototype Si MOSFET from IBM, for which the effective gate length was 27 nm and the extrapolated f T was 220 GHz [5]. For comparison, an f T of 562 GHz has been reported for a similar length (25 nm) HEMT [6]. In this era of ubiquitous wireless communication there is considerable interest in improving the high-frequency capability of Si CMOS FETs, as this would enable smaller and more powerful mixed-signal integrated circuits. The main drawback for MOSFETs is the inherently lowtransconductance. Recall that g m ≈ dI 2 ,dV 31 , and that for a FETin the saturation mode I 2 = I Dsat ∝ (V GS − V T ) n , where the exponent n is somewhere between 1 and 2. Contrast this with an HBT, for which I C ∝ exp(V BE ,V t h ). The corresponding transconductances per unit current are g m I = 1 V th HBT = n V GS − V T MOSFET. (14.41) For equal ratios in the two transistor types, it is required that the overdrive voltage be V GS − V T = nV th . (14.42) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 268 14 Transistors for high frequencies At 300 K the operative voltage for the MOSFET would be ≈50 mV, which would mean a very low bias current. If an even lower current could be tolerated, then it would be advantageous to operate in the sub-threshold regime, in which an exponential I D − V GS relationship holds. In this case a g m ,I ratio rivalling that of HBTs is possible naturally, i.e., by virtue of the transport mechanism (thermionic emission over a barrier), as opposed to having to control (V GS − V T ) to be ≈V th . 14.7.2 f max For HBTs, the derivation of an expression for f max proceeded from the equivalent circuit of Fig. 14.10b. The conditions that must be met for this circuit to be a reasonable approximation to the full, small-signal, equivalent circuit of Fig. 14.4 were stated earlier in this chapter. If comparable conditions are met for the operation of a MOSFET, then it follows that an approximate expression for f max for a MOSFET will have exactly the same form as (14.33) for the HBT. Specifically: f max = ¸ f T.i 8πC dg R g . (14.43) where the intrinsic value of f T is 2π f Ti = g m ,C gg . If the conditions required to derive this equation cannot be met, then it is still possible to arrive at an expression for the power gain that rolls off at −20 dB/decade, but the equation is much lengthier [7]. For our present purpose, (14.43) serves to draw attention to the need to minimize the gate capacitance and resistance. This is achieved in modern MESFETs and HEMTs by using the ‘mushroom’ structure for the gate illustrated in Fig. 11.2. The small contact region between the gate metal and the underlying semiconductor allows a short gate length to be achieved, and the wider top region keeps the access resistance low. 14.8 Power gain, oscillation and stability Our presentation of the high-frequency metrics f T and f max has focused on their relation to the physical properties (capacitance and resistance) of transistors. In Circuit Analy- sis, it is usual to treat the transistor as a two-port network, and to employ small-signal parameters that refer to either admittance (y-parameters), impedance (z-parameters), or power ‘scattering’ (s-parameters) [8]. Experimentally, it is possible to determine the y- and z-parameters by measurements under specific short- or open-circuit conditions. For very high frequency measurements, which are demanded by modern high-performance transistors, terminating the network ports via finite impedances is generally done. Termi- nating the output by the characteristic impedance of the transistor, for example, results in no power reflection from the load, and this allows direct determination of the reverse feedback parameter, which is s 32 in our notation. Earlier, we defined f max as the frequency at which the power gain is unity. It marks the boundary between when the device is active (power gain > 1) and when it is passive P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 269 (power gain - 1). At such a frequency, if the output were fed back through an external circuit to the input, the gain of the system could become infinite, i.e., an output could be sustained with no input. Such a circuit is an oscillator. At frequencies higher than f max no amount of external feedback via passive components can create this condition, so f max is also known as the maximum frequency of oscillation. If we want MAG > 1, and no oscillation, as we do in an amplifier, then passivity has to be maintained at frequencies lower than f max . It is not sufficient to merely disconnect the external feedback circuitry, because there is always feedback internally through C bc or C gd . In the absence of external feedback, but allowing for passive terminations that maintain the conjugate matching but do not cause oscillation, the transistor is said to be inherently stable. For even lower frequencies, the transistor is potentially unstable, i.e., it could oscillate, so it has to be stabilized by additional terminating components, or by appropriate external feedback. Thus, the gain is no longer the maximum available gain, but is, instead, the maximum stable gain MSG. Another frequently used power gain in transistor measurements is Mason’s Unilateral Gain U. This metric refers to the situation when feedback is employed to ensure that, at some particular frequency, there is no contribution to the current or voltage at the input from any current or voltage appearing at the output. This condition is achieved at the specified frequency by addition of suitable components to the circuit [9]. In terms of the small-signal parameters, this means that, for the entire network (transistor and additional components), s 32 = z 32 = y 32 = 0. Under these circumstances the circuit is said to be unilateralized. Evidently, both U and MAG extrapolate to the same value of f max (see Fig. 14.7). The z-parameter version of U is U = [z 23 − z 32 [ 2 4[+(z 33 )+(z 22 ) −+(z 32 )+(z 23 )] . (14.44) This expression is used in Exercise 18.3. Exercises 14.1 Consider an Npn In 0.49 Ga 0.51 P/GaAs/GaAs HBT operating in the active mode with V BE = 1.25 V and V BC = −3.0 V. The emitter doping density is 10 18 cm −3 and the width of the emitter quasi-neutral region is 100 nm. The corresponding values for the base are 10 19 cm −3 and 25 nm. The cross-sectional area of the HBT is (1 1) mm 2 . The minority carrier properties of InGaP can be taken to be the same as for correspondingly doped GaAs. Estimate the transconductance and the input conductance of the HBT under the stated operating conditions. 14.2 For the HBT used to generate Fig. 14.7 it appears that the current gain (h 21 ≡ our y 23 ,y 32 ) flattens out at ‘low’ frequencies, i.e., below about 3 GHz in this case. Calculate the low-frequency gain for the device of the previous question. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 270 14 Transistors for high frequencies 14.3 For the HBT shown in Fig. 14.2, Hafez et al. [2] quote a figure of 72 fs for the base/collector signal delay time τ C . The semiconductor is InGaAs, for which the drift velocity is shown in Fig. 11.1. If the area of the collector/base junction is 0.4 6 µm 2 , estimate the collec- tor/base junction capacitance C / CB . 14.4 The width of the InGaAs base in the high-performance transistor of the previous question can be taken to be 20 nm. The base signal delay time τ B is quoted as being 65 fs. Estimate the electron mobility in the base. 14.5 An HBT of similar structure to that shown in Fig. 14.2 has been reported with f T = 710 GHz and f max = 340 GHz [1]. The base appears to be contacted on either side of the emitter stripe. What might be the changes in f max and in f T if there was only a base contact on one side of the emitter? 14.6 The collector of the HBT of Question 14.1 has a doping density of 10 17 cm −3 and is quite wide (vertical dimension). Ignore all resistances. (a) Evaluate the combined signal delay time (τ C ÷τ CC ) associated with the base/collector space-charge region of this HBT. (b) Determine the width of depletion region at the base/collector junction that would minimize (τ C ÷τ CC ). (c) Evaluate the reverse bias that should be applied to the base/collector junction to realize this minimum delay. 14.7 For the HBT of the previous question, what value of base resistance R b would be needed to make f max = f Ti ? 14.8 This question concerns the base signal delay in a graded-base HBT. (a) Derive (14.22) for τ B in a graded-base HBT. Start with the expression for the electron current in a graded base [10] J e = q D e _ dn dx − bn W B _ . (14.45) where b = LE g ,k B T. Assume there is no recombination in the base (d J e ,dx = 0), and solve for n(x). Hence find J e from (14.45), and the base charge Q B by integration, both in terms of n(0) and n(W B ). Use the boundary condition (9.7) for n(0), and for n(W B ) use J(W B ) = −qn(W B ): sat . The expression for τ B in (14.22) follows from Q B ,J e . (b) Use L’Hˆ opital’s rule to show that (14.22) reduces to (14.19) in the case of no base-grading and : sat = 2: R . 14.9 Consider a typical CMOS90 Si N-MOSFET with V DS = 1 V. Estimate the ratio g m ,I D at two gate-source biases: (i) V GS = (V T −0.5) V, and (ii) V GS = (V T ÷0.5) V. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 References 271 14.10 One of the two values computed in the previous question is equal to that for an HBT. Does this fact alone mean that MOSFETs can challenge HBTs in practical high-frequency applications? 14.11 Fig. 14.3a can be turned into a y-parameter 2-port by simply replacing all the conductances by admittances. Taking terminal 1 as reference, the circuit is then described by _ i 2 i 3 _ = _ y 22 y 23 y 32 y 33 _ _ : 21 : 31 _ . (14.46) This description applies to any 2-port, such as Fig. 14.12 for a MOSFET. Here, ignore the parasitic resistances and then, by comparing the two circuits, show that the so-called intrinsic y-parameters are: y 22 = g dd ÷ j ω(C sd ÷C gd ) y 23 = g m − j ω(C m ÷C gd ) y 32 = −j ωC gd y 33 = j ω(C gs ÷C gd ) . (14.47) where we have used lower-case subscripts in place of the upper-case subscripts and the primes used in Fig. 14.12, i.e., the capacitances in the above equation are total capacitances, not capacitances per unit area. 14.12 Equation (14.46) reveals that, when : 21 = 0, the current gain is simply y 23 ,y 33 . Use this fact to show that the expression for the intrinsic f T for a MOSFET is of exactly the same form as for an intrinsic HBT ((14.16) with R ec = 0). References [1] W. Hafez, W. Snodgrass and M. Feng, 12.5 nm Base Pseudomorphic Heterojunction Bipo- lar Transistors Achieving f T =710 GHz and f max = 340 GHz, Appl. Phys. Lett., vol. 87, 252109, 2005. [2] W. Hafez and M. Feng, Experimental Demonstration of Pseudomorphic Heterojunction Bipolar Transistors with Cutoff Frequencies above 600 GHz, Appl. Phys. Lett., vol. 86, 152101, 2005. [3] W. Liu, Fundamentals of III-V Devices: HBTs, MESFETs, and HFETs/HEMTs, pp. 226–231, John Wiley & Sons Inc., 1999. [4] M. Vaidyanathan and D.L. Pulfrey, Extrapolated f max for Heterojunction Bipolar Transistors, IEEE Trans. Electron Dev., vol. 46, 301–309, 1999. [5] Sungjae Lee, Lawrence Wagner, Basanth Jagannathan, Sebastian Csutak, John Pekarik, Noah Zamdmer, Matthew Breitwisch, Ravikumar Ramachandran and Greg Freeman, Record RF Performance of Sub-46 nm L gate NFETs in Microprocessor SOI CMOS Technologies, IEEE IEDM Tech. Digest, 241–244, 2005. [6] Y. Yamashita, A. Endoh, K. Shinohara, K. Hikosaka, T. Matsui, S. Hiyamizu and T. Nimura, In 0.52 Al 0.48 As/In 0.7 Ga 0.3 As HEMTs with an Ultrahigh f T of 562 GHz, IEEE Electron Dev. Lett., vol. 23, 573–575, 2002. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 272 14 Transistors for high frequencies [7] L.C. Castro and D.L. Pulfrey, Extrapolated f max for CNFETs, Nanotechnology, vol. 17, 300–304, 2006. [8] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design, 2nd Edn., Chap. 1, Prentice-Hall, 1984. [9] S.J. Mason, Power Gain in Feedback Amplifier, IRE Trans. Circuit Theory, vol. 1, 20–25, 1954. [10] S.C.M. Ho and D.L. Pulfrey, The Effect of Base Grading on the Gain and High-frequency Performance of AlGaAs/GaAs Heterojunction Bipolar Transistors, IEEE Trans. Electron Dev., vol. 36, 2173–2182, 1989. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 15 Transistors for memories Semiconductor memory is one of the main drivers of the semiconductor industry. Competition is fierce to increase density, and to reduce power dissipation and access times. In this chapter we describe two very important and much-used types of memory cell, each based on the Si MOSFET: flash memory, and dynamic randomaccess memory (DRAM). Both memories, and, indeed, all semiconductor memories, have the basic organizational structure shown in Fig. 15.1a. The feature of flash memory and DRAM that gives them their capability of exceptionally high density is the use of a single transistor for the memory element (see Fig. 15.1b). Flash memory is used in computer BIOS, and has also enabled many popular products, e.g., memory sticks, digital cameras, and personal digital assistants. There is some interesting physics in this non-volatile memory element: data is stored as charge on a floating gate within the insulator of a MOSFET. Charging and discharging is achieved ‘in a flash’ via field-assisted tunnelling. DRAM is the main memory in PCs and workstations. Data is stored in a capacitor attached to the source of a pass transistor. As we show later, the action of reading a ZERO changes the stored data, so the memory has to be refreshed periodically, hence the appellation ‘dynamic’. Leakage of charge from the storage capacitor also dictates that there be frequent refreshing of the memory’s contents. 15.1 Flash memory The MOSFET in a flash-memory cell has two polysilicon gates, one of which is completely surrounded by insulating oxide, and is, therefore, floating electrically. The structure is shown in Fig. 15.2. Programming of the cell is achieved by applying an appropriate voltage to the top gate (also called the control gate) via the word line. The intent is to either place electrons on the floating gate (writing), or to remove electrons from the floating gate (erasing). The state of charge on the floating gate determines the threshold voltage of the transistor and, therefore, the magnitude of the drain current that will pass into the bit line when the cell is interrogated. A current sensor in the bit-line circuitry detects this current, and interprets from it the state of the memory cell. The effect of floating-gate charge density Q F on the charge density in the channel Q n is illustrated in Fig. 15.3. Applying Gauss’s Law, or by invoking directly the conservation 273 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 274 15 Transistors for memories mux/demux d e c o d e r Address Data Bit lines W o r d l i n e s Memory cell (a) (b) Figure 15.1 Basic organization of a semiconductor memory. (a) Each memory element is xy-addressable, and can be written to, and read from, via the bit lines. (b) Single-MOSFET memory element. The gate connects to the word line, the drain connects to the bit line, and the connection to the source differs according to the type of memory, as discussed in the text. Word line p Body Tunnel oxide Oxide Floating gate Control gate Bit line n + Source n + Drain D I Figure 15.2 Individual flash-memory cell, showing the two gates, one of which is floating, and the connections to the word line and bit line of a memory array. _ + _ + + + _ + + _ _ (a) _ _ _ _ + + + + Silicon Floating gate Control gate (b) _ n n n p _ + _ + _ + _ + n p Figure 15.3 Illustrating how electronic charge on the floating gate affects the charge in the MOSFET channel. (a) No net charge on the floating gate, only polarization charges induced by the charge on the top gate. (b) Reduction in electron charge in the channel due to the presence of electron charge on the floating gate. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 15.1 Flash memory 275 of charge: Q n ÷ Q F ÷ Q G = 0 . (15.1) where Q G is the charge density on the control gate. Thus, to maintain a given Q n in the presence of a change in floating-gate charge LQ F , the change in control-gate charge density is simply −LQ F . The associated change in control-gate voltage follows from Gauss’s Law, and is the change in threshold voltage: LV T = LQ G C ox.top = −LQ F t ox.top c ox . (15.2) where t ox.top is the thickness of the upper oxide. The word-line voltage used in addressing the cell is not sufficient to alter Q F , so the act of reading the contents of the cell is non-destructive. If the read voltage is less than LV T , then the presence of charge on the floating gate puts the transistor in the OFF state, i.e., the storage of electrons on the floating gate is interpreted as the storage of a ZERO in the memory cell. The read voltage is such that it is greater than the threshold voltage when Q F = 0. Thus, in this case the FET is ON, drain current is received at the bit line, and the storage of a ONE is recognized. In the procedure just described, each cell carries one bit of information. This is single- level-cell operation. Note that, if Q F could be precisely controlled, then a variable LV T would be obtained. In this case, different values of read voltage would be required to turn-on the FET, depending on the amount of Q F present. This leads to multi-level-cell operation and the option of increasing the number of stored bits per cell. For example, if the maximum drain current, which occurs at Q F = 0, is I max , and the minimum current change that can be detected by the sensing circuitry is LI , then the number of possible bits is n = log 2 _ I max LI ÷1 _ . (15.3) Increasing the number of bits per cell is obviously desirable from a memory-density point of view, but it places greater demands on the placement of charge and on its discernment. Presently (early 2009), 64 Gbit chips using 4bits/cell have been reported by SanDisk and Toshiba [1]. The actual programming of a flash-memory cell is illustrated in Fig. 15.4. The top-left band diagram shows the equilibrium condition when there is no charge stored on the floating gate. Direct tunnelling of electrons to the floating gate from either the control gate or the body (substrate) is not possible because the oxides on either side of the gate are too thick. Writing occurs when a large bias V W GS is applied to the control gate. This voltage has to be large enough to: (a) invert the semiconductor surface to create a source of electrons; (b) produce an electric field in the thinner oxide that is sufficient to enable field-assisted tunnelling of the channel electrons to the floating gate (see Fig. 15.4b). The ‘field-assistance’ comes from a reduction of the thickness of the tunnelling barrier at higher energies; the phenomenon is also known as Fowler-Nordheim tunnelling, after the two men who first identified it in 1928. The polysilicon floating gate has to be thick P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 276 15 Transistors for memories (b) Write (d) Erase (c) Store (a) Equilibrium Silicon V E C E gate Control F E poly E GS −qV W GS −qV FG Figure 15.4 Energy-band diagrams for a flash-memory call. The control gate is assumed to be metal, the floating gate is n ÷ -polysilicon, and the body of the cell is p-silicon. (a) Equilibrium. (b) Writing a ZERO by tunnelling from the channel to the floating gate. (c) Storing a ZERO via charge on the floating gate. (d) Erasing the ZERO by tunnelling from the floating gate to the channel. enough for the injected electrons to lose energy by collisions and ‘fall into’ the potential well. On removing the write voltage, the charge is trapped (see Fig. 15.4c). To erase the cell, a large, negative voltage V E GS is applied to facilitate Fowler-Nordheim tunnelling of the stored electrons to the body (see Fig. 15.4d). This erasure process is fast, hence the name ‘flash’. 1 On the other hand, storage is long-lasting because the electrons are trapped in a deep potential well, from which direct tunnelling is not probable. As the data can be retained with no applied voltages to the cell (see Fig. 15.4c), this memory is non-volatile. 1 ‘Flash’ is attributed to Shoji Ariizumi, a colleague of Fujio Masuoka, who invented Flash Memory at Toshiba in 1984. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 15.2 Dynamic Random Access Memory 277 Word line C B C st Bit line Plate Figure 15.5 Schematic of an individual DRAM cell, showing the relevant capacitors (storage and bit-line), and the MOSFET acting as a pass-transistor. 15.2 Dynamic Random Access Memory Another type of semiconductor memory in which a single-transistor is employed as the memory cell is dynamic random access memory (DRAM). In this case, the MOSFET acts as a switch between the bit line and a storage capacitor. The charge stored on the capacitor determines the state (ONE or ZERO) of the cell. Schematically, the circuit is as shown in Fig. 15.5, where we label the storage capacitor as C st , rather than C S , to emphasize that in modern DRAMs the storage capacitance is not that of the source, but is that of a parallel-plate capacitor, which is connected to the source and has a much larger capacitance than that of the source/body np-junction. The stored charge connects to the bit line via the inversion layer in the channel when the FET is turned ON. The bit line is floating during this part of the operation, so its voltage may change in response to the new charged state of the bit-line capacitance C B . Any voltage change is detected by a sensitive amplifier attached to the bit-line circuitry. In the DRAM we now describe, no voltage change is indicative of a stored ONE, whereas a slight decrease in bit-line voltage is associated with a stored ZERO. The terminal marked ♦on Fig. 15.5 is called the plate electrode of the capacitor, and it is held at V DD ,2, where V DD is the usual CMOS supply voltage. Labelling the actual source potential as V S , the basic charge-sharing equation is C st V st ÷C B V B = (C st ÷C B )V / B . (15.4) where V st = (V S − V DD ,2) is the voltage across the storage capacitor, V B is the voltage on the pre-charged bit line, and V / B is the bit-line voltage after accessing the storage capacitor. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 278 15 Transistors for memories When writing a ONE, the bit-line voltage is raised by internal, charge-pumping circuitry to (V DD ÷ V T ), where V T is the threshold voltage of the FET. This means that when the word line is enabled, turning on the N-channel pass transistor, the source node rises to V DD . Thus, V st →÷V DD ,2. To read this ONE, the bit-line is pre-charged to V DD ,2 and left floating as the transistor is turned on. Charge sharing occurs, and the newbit-line voltage V / B follows from(15.4): V / B = V DD 2 _ C st ÷C B C st ÷C B _ = V DD 2 . (15.5) Thus, the bit-line voltage is unchanged, and this is interpreted as a ONE. To write a ZERO to the cell, V B is set at 0, the word line is enabled, turning on the pass transistor, and the source node falls to 0, which means that V st →−V DD ,2. To read this ZERO, again the bit-line is pre-charged to V DD ,2 and left floating as the transistor is turned on. Charge sharing results in the bit-line voltage changing to V / B , which from (15.4) is now V / B = V DD 2 _ C B −C st C st ÷C B _ → - V DD 2 . (15.6) The smaller V / B can be made the easier it is to distinguish between a ONE and a ZERO. Note that, on reading a ZERO, the source potential is changed, so the memory cell needs to be refreshed before the next read operation. This is the reason for this type of memory being called ‘dynamic’. Besides having to refresh after reading a ZERO, it is also necessary to periodically refresh the entire memory because of the inevitable leakage of charge from the storage capacitor. Leakage via the source node principally involves: sub-threshold conduction, gate-induced-drain-lowering and the reverse-bias current of the source/body diode. These mechanisms are illustrated in Fig. 13.14. In view of the need to lower V / B below V DD ,2 to detect a ZERO, it is not suprising that the DRAM cell has evolved over the years with the aim of keeping C st high while simultaneously shrinking the cell size to increase memory density (see Fig. 15.6). The challenge has been great because increasing the density means that the number of cells attached to a bit line increases, thereby increasing C B . The first DRAMs used an MOS capacitor as the storage element: a positive poten- tial applied to the plate electrode (see Fig. 15.6a) pulsed the underlying silicon into deep depletion. In this condition, the surface potential ψ s exceeded the usual limit in MOSFETs of ≈2φ B because there were no contiguous n ÷ regions to supply electrons and create an inversion layer at the silicon surface. The large ψ s was interpreted as the storage of a ONE. Eventually, ψ s would reduce to ≈2φ B due to the thermal gen- eration of electron-hole pairs within the depletion region, and their separation by the field therein. Thus, the cell had to be refreshed every few milliseconds or so in order to restore the stored ONE. The problem of electron-hole pair generation was avoided in the next generation of DRAM cells by replacing the MOS storage capacitor with a conductor/oxide/conductor parallel-plate capacitor in which the bottom electrode was n ÷ silicon (see Fig. 15.6b). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 15.2 Dynamic Random Access Memory 279 (4M −) B P (4G −) (e) Buried word line (a) MOS capacitor (4K) (b) Planar capacitor (64K − 1M) P B W W P B W p + n + n W (d) Stacked capacitor P B W (1M − 1G) (c) Trench capacitor P B Figure 15.6 Illustrative example of the evolution of the storage capacitor within a DRAM cell. ‘P’ is the plate electrode, ‘W’ the word line, and ‘B’ the bit line. The numbers indicate typical maximum values or ranges of values for the number of bits stored in each embodiment. (a) Plate-oxide-p-silicon MOS capacitor. (b) Poly-oxide-n ÷ -silicon capacitor in a planar arrangement. (c) Poly-oxide-poly capacitor formed in a trench within the silicon wafer. (d) Poly-oxide-poly capacitor stacked on top of the cell. (e) Buried word-line cell. Both of the above cells were ‘planar’, and this placed a limitation on howsmall the cell could be made. Therefore, to increase cell density, and yet maintain a high storage capacitance, the next generation of DRAM cells employed 3-D structures, with the storage capacitor being either buried in the substrate (Fig. 15.6c), or stacked above the transistor (Fig. 15.6d). Cells with these structures are still used today. The latest development in DRAM-cell structuring seeks to reduce the bit-line capacitance C B , rather than to increase C st . In the embodiment shown in Fig. 15.6d, this is achieved by burying the pass transistor below the surface of the silicon, thereby physically distancing it from the bit line and, consequently, reducing the bit-line-to- word-line capacitance, which has been one of the major contributions to C B . This structural arrangement is called ‘recess-channel array transistor’ (RCAT) by Samsung, and ‘buried word-line’ (BWL) by Qimonda [2]. The example shown in Fig. 15.6d is based on Qimonda’s design; note howthe channel forms a U-shape around the word line, thereby reducing short-channel effects, which would otherwise be significant, given that the word line width is that of the technology node, e.g., presently (2009), 65 or 45 nm. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 280 15 Transistors for memories Exercises 15.1 A floating-gate flash memory cell of the construction shown in Fig. 15.2 uses a word-line voltage of 1.5 V during the READ operation. The upper insulator is silicon dioxide and has a thickness of 20 nm. The threshold voltage when there is no charge stored on the floating gate is 1.0 V. The area of the floating gate is (100 100 ) nm 2 . Howmany electrons need to be stored on the floating gate to represent a ZERO? 15.2 The maximum current that can be delivered to the bit line by one cell of a floating-gate flash memory is 1.5 mAµm −1 . The cell width is 100 nm. If each cell is required to store 4 bits, what level of current discrimination must the bit-line sensing circuitry have? 15.3 Consider a stacked-capacitor DRAM with its storage capacitor of 1 pF charged to 1 V. This represents a stored ONE. The FET in the DRAM is a CMOS65 N-FET of width Z = 100 nm. If charge leakage from the storage capacitor is due to the sub-threshold current of the FET, how long will it take for the storage capacitor to lose 50% of its charge? 15.4 The bit-line sensing circuitry in the DRAM of the previous question can detect a voltage change of 10 mV, and this is used to distinguish between a stored ONE and a stored ZERO in a single cell. Compute the magnitude of the bit-line capacitance. References [1] C. Trinh et al., A5.6MB/s 64Gb 4b/cell NANDFlash Memory in 43 nmCMOS, ISSCCDigest Tech. Papers, 246–248, 2009. [2] T. Schloesser et al., A 6F 2 Buried Wordline DRAM Cell for 40 nm and Beyond, IEEE IEDM Tech. Digest, paper 33.4, 2008. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16 Transistors for high power Power amplifiers and switch-mode power supplies are two instances where the constituent transistors are required to deliver higher currents, and to withstand higher voltages, than are encountered in the digital, high-frequency and memory transistors discussed previously. In this chapter we briefly describe the structural details of, and discuss the principal properties of, several types of high-power transistor: the GaAs HBT and GaN HJFET for power amplification, and the Si MOSFET and hybrid transistor for power supplies. High currents mean high carrier densities, which can lead to a modification of the space-charge region at the output junction (collector/base or drain/body), with con- sequences for the frequency response and/or the breakdown voltage. We begin this chapter with a description of the breakdown process (avalanche breakdown), and of the high-current, space-charge-modifying effect (the Kirk Effect). 16.1 Avalanche breakdown Operating transistors at high V CE or high V DS can lead to electrical breakdown of the collector/base or drain/body junction, respectively. Breakdown is characterized by the sudden onset of a large current which, if it is not interrupted, can lead to thermal destruction of the transistor. The breakdown process in a reverse-biased pn-junction is illustrated in Fig. 16.1. Electrons entering the high field of the junction rapidly gain kinetic energy. If this energy is allowed to exceed the bandgap energy E g , then, when the electron finally collides with a lattice atom, an energy E ≥ E g can be transferred to another electron, thereby exciting it into the conduction band. Thus, one electron creates another electron (and a hole). This process is the generation-equivalent of Auger recombination. The newly generated electron and hole are, in turn, accelerated by the junction field, leading to the possibility of creation of more electron-hole pairs, and a rapidly increasing current. For obvious reasons this phenomenon is called avalanche breakdown. The value of the electric field at which the avalanche is initiated is called the break- down field strength E br ; as is to be expected, perhaps, there is some correlation between E br and E g (see Table 16.1). The Table lists several semiconductor properties that are important to power transistors: κ L is the thermal conductivity; JFOM is Johnson’s figure-of-merit [2], which is intended to characterize devices for applications in which 281 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 282 16 Transistors for high power Table 16.1 Important, power-related, properties of various semiconducting materials. κ L is the thermal conductivity; JFOM is Johnson’s figure-of-merit (see text), which is normalized to that of Si in this Table. Adapted from DiSanto [1], courtesy of David DiSanto, ex-SFU. E g E br : sat κ L JFOM Material (eV) (MVcm −1 ) (10 7 cms −1 ) W(cm.K) −1 (E br : sat )/Si Si 1.12 0.3 1.0 1.5 1 InP 1.35 0.6 1.5 0.7 3 GaAs 1.43 0.4 1.0 0.5 2 SiC 3.26 3.5 2.5 5.0 29 GaN 3.39 2.0 2.5 1.5 17 Diamond 5.45 10.0 2.7 20 90 E V E Fp E C E Fn qV br Figure 16.1 Energy-band diagram for large reverse bias across a pn-junction, showing avalanche multiplication of both electrons and holes, following collisions with atoms at the sites marked X. operation at both high power and high frequency is required. The large-bandgap semi- conductor diamond comes out very well in both of the above categories, and the making of transistors from it is presently the subject of much research interest. In a pn-junction, the maximum electric field occurs at the interface between the two, differently doped regions. The left panel of Fig. 16.2 shows the case of an abrupt junction with uniform doping on each side of the junction, and under low-current conditions. Note that the n-side is less heavily doped than the p-side. The area under the E-x curve is the total voltage drop across the junction: V bi ÷ V a = 1 2 E br W . (16.1) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16.1 Avalanche breakdown 283 C h a r g e d e n s i t y F i e l d P o t e n t i a l (c) (b) (a) x 0 0 0 0 x 0 0 0 0 x x 0 0 0 0 x x sat v epi << qN C J subC W subC qN dp −x ) a + V bi q(V epi qN base qN W epi dp −x J (qN epi − J C /v sat ) W epi K = J sat v epi > qN C Figure 16.2 Variation across a pn − n ÷ structure with two abrupt junctions of: (a) charge density, (b) electric field, (c) electrostatic potential. The set of figures on the left is for the case of a current density that is low enough for the space-charge due to the mobile electrons to be negligible, and for the n − layer (labelled ‘epi’) to be just fully depleted. The set on the right is for the case when this mobile charge is sufficient to reduce the electric field at the pn − interface to zero. The depletion region spreads into the n ÷ layer, the sub-collector. The applied bias is the same in both sets of figures. where W is the width of the space-charge region at the junction, and V a is the applied, reverse-bias voltage (assumed to be dropped entirely across the space-charge region), and E br is identified with E(0). Invoking the Depletion Approximation, we use (6.22) to estimate W, and simplifying by assuming [V a [ ¸ V bi , a useful expression for the breakdown voltage results: V br = E 2 br c 2q _ 1 N D ÷ 1 N A _ . (16.2) This equation emphasizes the importance of employing a semiconductor with a high breakdown field strength. Also, if other factors dictate the use of a semiconductor with a relatively low E br , such as Si, then the equation shows the necessity of using a low doping density for at least one side of the junction. So as not to compromise the principal transport-determining region of the transistor (the base or channel), it is the collector (drain) in which the doping is reduced. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 284 16 Transistors for high power 16.2 The Kirk Effect Consider a structure in which a highly doped n-region has been added to the right-hand side of our pn-junction (see the right panel of Fig. 16.2). This pn − n ÷ arrangement arises at the base/collector junction in Npn-HBTs, and in the body/drain region of lateral-diffused MOSFETs. The lightly doped region is usually deposited by vapour- phase epitaxy, and is referred to as the epi-layer. Generally, we are interested in the electric-field profile in and around the epi-layer, and how it changes in response to an increasing electron current. Analytically, it is easier to treat the HBT case as the situation is essentially, one-dimensional. Thus, let us consider an HBT in the forward-active mode of operation with electrons being injected from the emitter, and passing through the p- type base into the lightly n-doped epi-layer, before being collected in the heavily n-doped sub-collector. Neglecting the holes in the epi-layer, Poisson’s Equation in 1-D is dE dx = 1 c s _ qN epi − J C : sat _ . (16.3) where we assume that the electrons are moving in the epi-layer at their saturation velocity. For low collector current density J C the field gradient is positive, as shown in the left- side of Fig. 16.2b for x > 0. At J C ≡ J crit = qN epi : sat the field becomes constant. At higher J C the field gradient in the epi-layer becomes negative, as shown in the right-side of Fig. 16.2b for x > 0. What is happening, of course, is that the positive space charge of the donor ions in the epi-layer is being swamped by the negative space charge of the electrons carrying the current. Eventually, a current density is reached at which the field goes to zero at the base/epi-layer boundary. This means that there is then no field to prevent holes from the base moving into the epi-layer! The current density at which the field disappears at the p/epi junction is known as the Kirk current J K . An expression for J K is easily derived (see Exercise 16.3), but it should be clear that the onset of the Kirk Effect can be delayed by using a semiconductor for which : sat is high, and by choosing a high doping density for the epi-layer. Of course, to avoid the effect altogether, the epi-layer thickness could be reduced to zero, but then the breakdown issue comes to the fore. The implications of operating at or above J K for HBTs and lateral diffused MOSFETs are discussed in Section 16.3.1 and Section 16.4.1, respectively. 16.3 Transistors for power amplifiers The modern craving for wireless electronics has led to the development of transistors suited to the provision of high power at high frequencies. Here, we consider briefly two types of transistor that meet these specifications: InGaP/GaAs HBTs, and AlGaN/GaN HJFETs. The former, operating at modest voltages and power, are used in the transmitter stage of cell phones, and are also candidates for the final stages of power amplifiers in radio base-stations, where operation is at tens of volts and hundreds of watts. GaN-based P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16.3 Transistors for power amplifiers 285 Base Collector B B Sub–collector E E B Emitter C C Emitter cap p + GaAs n–GaAs n + GaAs n + GaAs n + InGaP Figure 16.3 A power-HBT cell. The dashed lines delineate one cell, which can be replicated to place more transistors in parallel, thereby increasing the current-handling capability. HJFETs are also being considered for the latter application, as well as for implementation in situations where the high bandgap of the material gives this transistor an advantage for operation in harsh environments, e.g., in automobiles and war zones. 16.3.1 GaAs HBTs The essential elements of a high-power, high-frequency HBT are illustrated in Fig. 16.3. They are: semiconducting materials chosen for their high mobility; interdigitated emitter and base contacts to enable a large current via the large total emitter area whilst simulta- neously reducing the base-access- and base-spreading-resistances; a thick, lightly doped collector to ensure a high breakdown voltage. In the embodiment shown, the splitting of the base current between three contacts reduces the power dissipation in the base spreading resistance to 1/12 of its value in the single-base case (see Exercise 16.4). The tall emitter helps to separate the emitter and base metallizations, and the thickening of the emitter towards its top helps reduce the parasitic emitter resistance. Fig. 16.3 forms a ‘power cell’, many of which can be connected in parallel to increase the output current. By opting to make the collector thick and lightly doped, the design gives precedence to attainment of a high V br over a high J K . Recall that at J C = J K there is no field at the base/collector junction, i.e., there is no potential barrier to constrain the holes to the base. Therefore, on further increasing J C , holes flood into the epi-layer, effectively extending the width of the quasi-neutral base region (the p-region in Fig. 16.2). This increases the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 286 16 Transistors for high power NDD DD NEB EB 50 40 30 20 10 0 Collector current (A µm −1 ) C u t – o f f f r e q u e n c y ( G H z ) 10 −6 10 −5 10 −4 10 −3 Figure 16.4 Predictions from ATLAS of f T for an AlGaAs/GaAs HBT using the four transport models described in the text. From Apanovich et al. [3], C _1995 IEEE, reproduced with permission. base transit time τ B . The associated reduction in the width of the base/collector space- charge region may improve the collector signal delay time τ C , but this is countered by the increase in the collector charging time τ CC . Thus, the overall effect is to increase τ EC (see (14.17)), and this leads to a decrease in f T at high currents, as illustrated in Fig. 16.4. The data here, and in the next three figures, are from numerical simulations using Silvaco’s ATLAS. The device is an AlGaAs/GaAs HBT with emitter, base, and collector thicknesses of 150, 100 and 500 nm, respectively, and associated doping densities of 5 10 17 , 10 19 and 10 17 cm −3 . Prior to the onset of the Kirk Effect, f T increases with current due to the improvement in transconductance. This can be appreciated from (9.12) and (14.6), g m ≈ ∂ I C ∂V BE = I C γ (I C )V th . (16.4) where γ is the junction ideality factor. At emitter current densities that are high enough for recombination in the emitter/base space-charge region to be neglected, as we considered in Section 9.2, γ = 1, at least while low-level injection conditions apply. In power HBTs, this condition can be violated. As an example of high-level injection conditions, let us assume that the injected minority carrier concentration at the edge of the depletion region in the base of an Npn transistor is such that n(x dp ) = p p0 . Under this condition, (6.29) becomes n(x dp ) p p0 = n 2 i exp V a ,V th →n(x dp ) = n i exp V a ,2V th . (16.5) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16.3 Transistors for power amplifiers 287 V CE = 2 V NDD EB NEB DD 1.1 1.15 1.2 1.25 1.3 1.35 1.4 1.45 1.5 I B I C 10 −2 10 −3 10 −4 10 −6 10 −7 10 −8 10 −9 10 −5 Base–emitter voltage, (V) C u r r e n t ( A µ m − 1 ) Figure 16.5 Predictions from ATLAS of the collector and base currents for the same HBT and models as used in Fig. 16.4. From Apanovich et al. [3], C _1995 IEEE, reproduced with permission. The increase in minority carrier concentration is matched by a corresponding increase in majority carrier concentration in order to maintain charge neutrality. The altering of the conductivity by changing the carrier concentrations is known as conductivity modulation. At the collector-end of the base the minority carriers are extracted, so this means that there will be large gradients in the concentrations of both carriers. Thus, both electrons and holes will diffuse; the condition is known as ambipolar diffusion. As holes and electrons diffuse at different rates, an electric field is set up that retards the diffusion of the faster carrier. The situation is clearly quite complicated, but it transpires that diffusion dominates, with an effectively higher diffusivity. This is known as the Webster Effect. The importance of all this for our discussion is that a diffusive electron current with the boundary condition of (16.5) leads to an ideality factor of γ = 2 in (16.4), and another contribution to the reduction in f T at high currents. An increase in γ brings about a decrease in current for a given bias; the effect is clearly seen in Fig. 16.5. This figure also shows the effect of different transport models on the predictions for the collector and base currents. The four models referred to in this figure, and in Fig. 16.4, Fig. 16.6, and Fig. 16.7 are: r DD - Drift Diffusion. This model uses Poisson’s equation, and the first four equations from (5.24) with T e = T h = T L , and ∇T L = 0. r NDD - Non-isothermal Drift Diffusion. This is the same as DD but with the lattice temperature allowed to vary with position. Heat flows out of the device via one of the contacts, and heat balance is accounted for by (5.25). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 288 16 Transistors for high power V CE = 2 V 1.1 440 400 420 380 360 340 320 300 1.15 1.2 1.25 1.3 1.35 1.4 1.45 1.5 Base–emitter voltage (V) M a x i m u m l a t t i c e t e m p e r a t u r e ( K ) NDD NEB Figure 16.6 Predictions from ATLAS of the maximum lattice temperature for the same HBT and models as used in Fig. 16.4. From Apanovich et al. [3], C _1995 IEEE, reproduced with permission. r EB - Energy Balance. This model uses Poisson’s equation and the set of equations (5.22). The carrier temperatures are allowed to be functions of position, so there is a contribution to the current from any gradient in kinetic energy. However, the lattice temperature is held constant. r NEB - Non-isothermal Energy Balance. This is the same as EB, but the lattice temperature is allowed to vary with position. The inclusion of energy balance leads to prediction of an improved f T , as Fig. 16.4 clearly shows. This is because electrons injected into the high field region of the reverse- biased base/collector space-charge region are accelerated to velocities above : sat before thermalizing collisions occur. This phenomenon of velocity overshoot reduces the signal delay time in the collector space-charge region, thereby improving f T . The increased velocity also increases the current, as evinced by comparison of the DD and EB models in Fig. 16.5. The non-isothermal models predict a significant rise in lattice temperature (see Fig. 16.6). This increases the minority-carrier concentrations (see (4.19) and (4.20)), leading to a further increase in current at high bias. The prediction of a higher current by the non-isothermal models may seemlike a good thing. However, as Fig. 16.7 shows, this is not necessarily so. The ‘current droop’ that is evident is a consequence of the device heating up. Note that the parameter held constant in this figure is the base current. To achieve this as the temperature rises, the base/emitter voltage has to be reduced, and it is this that leads to the undesirable reduction in collector current. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16.3 Transistors for power amplifiers 289 C o l l e c t o r c u r r e n t ( A µ m − 1 ) Collector–emitter voltage (V) NDD DD NEB EB 0 0.0018 0.0014 0.0012 0.001 0.0008 0.0006 0.0004 0.0002 0 0.0016 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 Figure 16.7 Predictions from ATLAS of the collector-current characteristic for the same HBT and models as used in Fig. 16.4. From Apanovich et al. [3], C _1995 IEEE, reproduced with permission. The results displayed in the previous four figures show the importance of including energy balance and lattice heating in the modelling of high-power bipolar transistors. The former allows for non-local transport, i.e., it predicts currents due to kinetic energy changes which cannot be accounted for in the usual drift and diffusion currents, as these are determined by the local electric field via a field-dependent mobility j(E) and the Einstein Relation. Lattice heating is particularly important in bipolar transistors because of the exponential dependence of minority-carrier concentrations on temperature. 16.3.2 GaN HJFETs High-power HJFETs based on GaNare relative newcomers to the power-transistor scene. With reference to Table 16.1, two properties of the material that are superior to GaAs, the material on which rival high-power HBTs are based, are the breakdown field strength and the thermal conductivity. The high electron saturation velocity is also advantageous for high-frequency applications, at least in devices where the field is high enough for this velocity to be attained. A typical GaN HJFET is shown in Fig. 16.8. The gate length and the separations between gate and source/drain electrodes are about 100–500 nm, but the operating volt- ages can be high (28 V for base-station applications, for example) so velocity saturation is likely. As we explain below, an AlGaN surface is electrically active, hence the use of a passivation layer such as silicon nitride. The gate electrode extends over the passivating layer towards the drain, forming a field plate. This serves to reduce the field in the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 290 16 Transistors for high power semi–insulating SiC undoped GaN undoped AlGaN SiN G D S nucleation layer Figure 16.8 AlGaN/GaN power HJFET. The dashed lines delineate one cell, which can be replicated to place more transistors in parallel, thereby increasing the current-handling capability. channel at the edge of the gate, thereby improving the breakdown voltage. However, the field plate adds capacitance to the device, which is not desirable for high-frequency per- formance. This drawback can be mitigated somewhat by recessing the gate, as shown in Fig. 16.8. The benefit comes fromincreasing g m through the closer coupling of the gate to the channel. The latter takes the form of a 2-D electron gas at the AlGaN/GaN interface. Presently, single-crystal GaN wafers, on which high-quality GaN and AlGaN layers might be grown, are not available. Instead, one choice of substrate is SiC, which has excel- lent thermal conductivity (see Table 16.1). Abuffer layer of GaNis deposited before com- mencement of epitaxial growth of the actual layers of the device, which crystallize in the wurtzite structure. Typically, growth is from the {0001} basal plane in the [0001] direc- tion, which is known as the c-axis (see Fig. 16.9). The atoms are arranged in two, repeat- ing, closely packed bilayers, each layer of which is an hexagonal arrangement of either Ga ions or N ions. In the example shown, the top layer in each bilayer is gallium, so this structure is known as ‘Ga-face’ GaN. The Ga-N bond is strongly ionic, and because the electronegativity of Nis higher than that of Ga, the electron probability density is greater in the vicinity of the N atom. Thus, the material is spontaneously polarized, with the polarization vector ¯ P Sp pointing fromNto Ga, i.e., towards the substrate in this example. For the ternary material Al x Ga 1−x N, the lattice constant is given by a = (0.3189 −0.0077x) nm. (16.6) Therefore, AlGaN grown pseudomorphically on GaN is under tensile strain. This adds a piezoelectric polarization ¯ P Pz to the spontaneous polarization that is inherent to the material. The situation for AlGaN on Ga-face GaN is illustrated in Fig. 16.10. The surface polarization charge densities are negative on the top of the AlGaN (region 2) and positive on the bottom of the GaN (region 1). At the interface between the two materials the surface polarization density is σ i nt = ( ¯ P Sp.2 ÷ ¯ P Pz.2 ) · ˆ n 2 ÷ ¯ P Sp.1 · ˆ n 1 . (16.7) and it is positive for the case under consideration. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16.3 Transistors for power amplifiers 291 Ga-face N Ga Substrate [ 0 0 0 1 ] Figure 16.9 Wurtzite crystal structure showing the bilayers of N and Ga arising from growth on a Ga-face substrate. Reused with permission from O. Ambacher, J. Smart, J. R. Shealy, N. G. Weimann, K. Chu, M. Murphy, W. J. Schaff, L. F. Eastman, R. Dimitrov, L. Wittmer, M. Stutzmann, W. Rieger and J. Hilsenbeck, Journal of Applied Physics, 85, 3222 (1999) [4]. Copyright 1999, American Institute of Physics. g r o w t h _ + _ _ + + GaN AlGaN c - a x i s int s sp P pz P sp P Figure 16.10 Polarization in GaN and strained AlGaN, and the resulting charge density at the interfacial layer, for films grown on Ga-face GaN. The sheet polarization charges are bound charges, i.e., they are fixed in space, rather like donor or acceptor ions. In the case under consideration, electrons are drawn to the interface, perhaps during the period of cooling after the growth of the layers, or from the ohmic contacts in the subsequently fabricated HJFET. Thus, it is possible to create a sheet of electrons at the interface without doping either of the layers! This sheet of charge P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 292 16 Transistors for high power (a) (b) OFF ON Control V IN OUT ON V voltage I D V DS V br forward blocking voltage switching Figure 16.11 Switched power supply. (a) Basic circuit for the transformation of a DC input voltage to a higher DC output voltage. (b) The switching cycle. is actually a two-dimensional gas because the electrons are confined in a potential notch, one side of which is due to the electon-affinity mismatch between the two materials. The relation, in terms of the mole fraction x for Al, is χ(x) = 4.1 −1.87x eV. (16.8) A typical value is x = 0.15, which is small enough for the lattice-constant mismatch to be acceptable; it yields a barrier of about 0.28 eV. The spontaneous and piezoelectric polarizations in AlGaN are so large that the sur- face concentration of electrons n s at the interface can be around 10 13 cm −2 . This very large value gives AlGaN/GaN HJFETs a high current-carrying capability. Additionally, the large bandgap and breakdown voltage allow operation at typical base-station voltage levels of 28 V. This means that additional voltage-conversion circuitry is unneccesary. These factors, allied with the previously discussed attributes of high electron velocity and high thermal conductivity, combine to produce a transistor with considerable merit for power amplifiers operating at high frequencies. 16.4 Transistors for high-voltage power supplies Fig. 16.11a shows a very rudimentary example of the use of a switch in a circuit that can transform DC voltages. Basically, when the switch is closed energy is stored in the inductor, and when the switch is opened, this energy is transferred to the capacitor, which charges up to a voltage that depends on the duty cycle, i.e., the fractional time that the switch is closed during one cycle of the control signal. Naturally, transistors are used for the switch. When the switch is closed, the transistor needs to pass a large current (recall that the energy stored in an inductor is ∝ LI 2 ). As this current is derived from the input voltage source, then it follows that the ON- resistance of the transistor must be low. This resistance should also be low to avoid excessive power dissipation within the transistor. When the switch is open, the transistor P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16.4 Transistors for high-voltage power supplies 293 p body S G D n epi p well n + n + J 2 y dn 1 J Figure 16.12 High-power laterally diffused (LD) Si MOSFET. The dashed line marks the edge of the space-charge layer at the p-body/n-epi junction; for RESURF operation its thickness y dn equals the thickness of the epi-layer. must be able to withstand a voltage at least equal to that of the output voltage. The rating that specifies this property is the forward blocking voltage. The two states of the transistor are illustrated in Fig. 16.11b. Being able to switch quickly between these two states is obviously advantageous. Originally, in the 1960s, the Si BJT was the most developed transistor and it was employed for the switching transistor in power supplies. However, bipolar transistors (BTs) are current-controlled devices, and this leads to complexity in the pulse-width- modulated control circuitry used to adjust the duty cycle. Also, to get the required low ON-resistance, BTs must be operated in the saturation mode; as we saw in Section 13.2, this is not conducive to fast switching. MOSFETs are voltage-controlled devices, which simplifies the control circuitry, and are unipolar, so switching times are not determined by slow recombination processes. Therefore, with the advent of high-current-handling Si MOSFETs in the 1970s, this transistor gradually became the dominant transistor in switch-mode power supplies. Today, in 2009, in the form of a lateral-diffused device, it is used in most high-speed, medium-power applications. For very high power situations the insulated-gate BT is used. Both these transistors are described below. 16.4.1 Si L-DMOSFETs The basic lateral-diffused MOSFET is illustrated in Fig. 16.12. The source is embedded in a p-well, and these two regions are formed in a sequential diffusion process, hence the word ‘diffused’ in the transistor title. ‘Lateral’ comes from the lateral layout of the device, which allows the three terminal contacts to be placed on the top of the structure. This is the major difference fromthe earlier vertically oriented device, the V-DMOSFET. The lateral arrangement greatly facilitates the integration of the power transistor with standard CMOS FETs, which can be used for the control circuitry. The drain consists of the usual n ÷ -contact region, and a lightly doped drain extension in the form of an n − -epi layer grown on the p-body. The junction between the p-well and the epi-layer forms a pn-diode (J1 on the figure). The junction between the epi-layer and the p-body forms a second diode (J2 in the figure). P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 294 16 Transistors for high power The breakdown voltage of J1 is given by (16.2), with the relevant doping densities being N epi and N p−well . The latter is the greater because of the need to counter-dope the epitaxial layer, so the breakdown voltage of J1 can be approximated as V br.1 = E 2 br c 2q 1 N epi . (16.9) The desirability of a low value for N epi is mitigated by the need to reduce the ON- resistance, which is determined to a large extent in this device by the lateral resistance of the epi-layer. One solution, you might imagine, would be to use a thick epi-layer. However, it turns out that a thin epi-layer is advantageous because of an interesting 2-D effect, the reduced surface field (RESURF) effect [5]. At the diode junction J2, the space charge region extends into the n-epi layer by a distance y dn , which can be estimated from applying the Depletion Approximation. With reference to Fig. 16.12, y dn = ¸ 2c s q V N body N epi (N epi ÷ N body ) . (16.10) where V = V bi.J2 ÷ V DS . The basic idea is to choose the thickness of the epi-layer to be less than y dn at the desired forward blocking voltage. In this way, the depletion region from J2 reaches through to the surface of the FET and augments the depletion region surrounding J1, effectively extending it in the x-direction. Thus, the voltage drop across J1 is spread over a longer region, and this has the effect of reducing the electric field E x below E br . This then shifts the region of likely breakdown to junction J2. By making N body - N epi , then V br.2 can exceed V br.1 . This desirable state of affairs increases the forward blocking voltage. However, note that there is a parasitic n ÷ pn − BJT formed by the source/body/epi regions, and if the current is high enough, the Kirk Effect will come into play and affect the voltage distribution in the structure (see Fig. 16.2). In the L-DMOSFET the point of highest field moves to the n ÷ n − junction between the drain and epi-layer regions, and this becomes the determinator of the breakdown voltage. It can be appreciated that the L-DMOSFET poses an interesting design problem involving the choice of epi-layer thickness and doping, and the interplay between block- ing voltage and operating current. If very high operating currents and voltages are required, then the device of choice is the insulated-gate bipolar transistor, a lateral version of which is described in the following section. 16.4.2 Lateral insulated-gate bipolar transistor As its name suggests, the insulated-gate bipolar transistor (IGBT) is a hybrid device. It combines the high output-current capability of a BJT with the high input-impedance- and voltage-control-attributes of a FET. A lateral version of the insulated-gate bipolar transistor (LIGBT) is shown in Fig. 16.13. The structure differs from that of the L-DMOSFET in Fig. 16.12 at the drain-end of the device: the n ÷ region is replaced by a p ÷ region within an n-type buffer zone. The additional pn-junction is labelled J3. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 16.4 Transistors for high-voltage power supplies 295 p well n n epi p body G A, D K, S n + J 1 p + J 3 J 2 Figure 16.13 Lateral IGBT, showing the biolar transistor with its base connected to the cathode K only when the MOSFET is ON. There are name changes too: the drain contact becomes the anode (A), and the source contact becomes the cathode (K). The bipolar part of the device is traced on Fig. 16.13; it is a p ÷ np well BJT, with the base being the n-epi layer. The cathode doubles as the contact to the collector and to the source of the N-MOSFET. The gate-source voltage V GK determines the state (ON or OFF) of the device. When V GK - V T , the threshold voltage of the MOSFET, there is no inversion layer connecting the n ÷ -source to the n-epi region, which is now the drain of the MOSFET. A forward bias on the IGBT (V AK > 0) results in the reverse biasing of junction J1, which provides the LIGBT with its forward blocking voltage. The depletion region in the epi-layer extends towards the anode, but is prevented from reaching the p ÷ region under the anode by the n-buffer layer. In other words, punch-through of the epi-layer, now in its role as the base, is averted. In punch-through there is no quasi-neutral base region to limit the current, so the blocking condition would be lost. The p ÷ n buffer junction J3 provides the IGBT with its reverse blocking voltage, i.e., when V AK - 0 in the OFF state. The IGBT turns on when V GK > V T ; an inversion layer forms under the gate, and this establishes a terminal contact (K) to the base epi-layer, into which electrons are injected. If, additionally, V AK > 0, then the p ÷ n buffer junction J3 becomes forward biased, and holes are injected into the base. This hole current is collected by the cathode. Thus, large hole conduction is modulated by a much smaller electron conduction. This is another example of conductivity modulation, and can lead to a situation where the injected hole density exceeds the background doping density of the n-epi layer. This leads to a larger current, and to a smaller ON-resistance, than is possible in the L-DMOSFET, where the current is due to the drift of majority carriers. Note that, before conductivity modulation can occur, the turn-on voltage of junction J3 has to be reached. Thereafter, the familiar BT collector characteristic unfolds, albeit with the MOS-derived voltage V GK being the control voltage, rather than the usual pn-junction-derived voltage V BE . The general characteristics are shown in Fig. 16.14. Specific characteristics are shown in Fig. 16.15, where yet another name for the source/cathode has been used, namely, the emitter. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 296 16 Transistors for high power V AK I K of J 3 turn–on V GK > V T V GK V T < V br forward V br reverse Figure 16.14 General collector characteristic for an IGBT. I C – V CE 20V 160 120 80 40 0 0 2 4 COLLECTOR-EMITTER VOLTAGE V CE (V) C O L L E C T O R C U R R E N T I C ( A ) 6 8 10 15V 13V 12V COMMON EMITTER T c = 25°C V GE = 10V Figure 16.15 Collector characteristic for Toshiba MG75J1ZS50 IGBT. Si IGBTs are capable of supplying 100’s of amps and watts in the ON state, and of withstanding 100’s of volts in the OFF state. They are not fast-switching devices, though, on account of the substantial minority carrier storage that occurs in the long n-epi base region. Exercises 16.1 A silicon n ÷ p − p power diode has an emitter with a donor doping density of 10 20 cm −3 . The weakly doped region of the two-part p-type base is 2 µm long and has a doping density of 10 16 cm −3 . The remainder of the base has a doping density of 10 17 cm −3 . This arrangement gives a high breakdown voltage and a low series resistance. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 References 297 A reverse bias of 40 V is applied to the diode, and the junction capacitance is measured to be 3.48 10 −5 Fm −2 . (a) Show that the lightly doped part of the base is completely depleted. (b) Calculate the maximum electric field in the device. 16.2 The breakdown voltage of a particular GaAs pn junction is 3 V. If the doping densities remained the same but the semiconductor were changed to GaN, what would the breakdown voltage be? 16.3 Integrate (16.3) to show that the current density at which the field disappears at the p/epi interface in a pn − n ÷ junction is J K = q _ 2c s qW 2 epi (V a ÷ V bi ) ÷ N epi _ : sat . (16.11) 16.4 Fig. 16.3 shows a power HBT in which the emitter is divided into two fingers, each of lateral width h,2. Also, the base current is split between three base contacts that are interdigitated with the emitter fingers. Showthat this arrangement leads to a 12-fold reduction in the power dissipation associated with the base-spreading resistance, as compared to an HBT with a single emitter of width h and a single base contact. 16.5 Consider an Al 0.3 Ga 0.7 N/GaN HJFET. Data on the polarization properties of this material system are given in Appendix B. Using this data, show that if 10% of the polarization charges at the interface between the two materials attract electrons, then the electron sheet density would be ≈1.7 10 12 cm −2 . 16.6 A Si L-DMOSFET has a body doping density of 5 10 16 cm −3 . The body/epi junction is required to withstand a voltage of 100 V, under which conditions y dn in Fig. 16.12 can be assumed to have penetrated through the entire epi-layer thickness. The sheet resistance of the epi-layer is to be 2 10 3 O/square, and can be taken to exist at the normal ON voltage, at which y dn can be taken to be zero. Using these specifications, calculate the thickness and doping density of the epi-layer. Note: an analytical solution can be easily obtained if the assumption of N body - N epi is made in (16.10). References [1] D. DiSanto, Aluminum Gallium Nitride/Gallium Nitride High Electron Mobility Transistor Fabrication and Characterization, Table 1-1, Ph.D. Thesis, Simon Fraser University, 2005. [2] E.O. Johnson, Physical Limitations on Frequency and Power Parameters of Transistors, RCA Review, vol. 26, 163–177, 1965 [3] Y. Apanovich, P. Blakey, R. Cottle, E. Lyumkis, B. Polsky, A. Shur and A. Tcherniaev, Numerical Simulation of submicrometer Devices Including Coupled Nonlocal Transport and Nonisothermal Effects, IEEE Trans. Electron Dev., vol. 42, 890–898, 1995. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 298 16 Transistors for high power [4] O. Ambacher, J. Smart, J.R. Shealy, N.G. Weimann, K. Chu, M. Murphy, W.J. Schaff, L.F. East- man, R. Dimitrov, L. Wittmer, M. Stutzmann, W. Rieger and J. Hilsenbeck, Two-dimensional Electron Gases Induced by Spontaneous and Piezoelectric Polarization Charges in N- and Ga-face AlGaN/GaN Heterostructures, J. Appl. Phys., vol. 85, 3222–3233, 1999. [5] A. Ludikhuize, A Review of RESURF Technology, Proc. 12th IEEE Int. Symp. Power Semi- conductor Devices and ICs, pp. 11–18, 2000. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 17 Transistors for low noise In this modern age of increasing wireless communications there are many applications requiring transistors that have low inherent noise, and can operate at very high fre- quencies, e.g., low-noise amplifiers for satellite communications systems, mixers and multipliers for point-to-point and point-to-multi-point radio, multi-port phase/frequency discriminators for automobile collision-avoidance radar. This chapter gives a very brief overview of the main sources of noise in transistors: thermal noise, shot noise, and flicker noise. How each of these noise sources is manifest in the various types of transistor discussed in this book is mentioned. It becomes apparent that the HJFEThas superior low-noise performance at high frequencies, so this transistor is then used to show how a transistor’s noise sources are incorporated into its small- signal equivalent circuit. A metric for noise performance, the noise figure NF, is then introduced. 17.1 Noise: general properties We are interested in how noise can originate in the inherent properties of a transistor, rather than arise due to interference from other sources. Inherent noise is random in nature, often with a time-averaged value ¸: n (t )) ≈ 0; so noise is usually described in terms of a root-mean-square (rms) value _ : 2 n = ¸ lim T→∞ _ 1 T _ T 0 : n (t ) 2 dt _ . (17.1) where T is the time period of observation. Recall that the rms formalism is used in relation to power: P average = 1 T _ T 0 :(t ) 2 R dt ≡ : 2 rms R . (17.2) i.e., an rms AC voltage and a DC voltage would lead to the same power dissipation in a resistor of resistance R, provided : rms = V DC . Thus, in noise considerations, one often talks of a noise power proportional to : 2 n or i 2 n . Further, one is usually interested in the 299 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 300 17 Transistors for low noise signal-to-noise ratio, which is customarily expressed in decibels SNR = 10 log 10 _ signal power noise power _ . (17.3) Often, a power level is referred to 1 mW, e.g., a signal power of 1 jW is −30 dBm. Whereas a domestic power supply delivers power at 50 or 60 Hz, randomnoise signals have, or can have, power over a wide frequency range. If one measures the noise power over a bandwidth Lf , the spectral power density is S = : 2 n Lf . (17.4) √ S is the root spectral density, and is expressed in volts per root Hertz. 17.2 Noise inherent to transistors 17.2.1 Thermal noise Electrons in a semiconductor are thermally agitated, and, under equilibrium conditions, move randomly with Brownian motion and a mean thermal speed given by (4.29). Thus, in equilibrium, the necessary condition of no net current is satisfied by the cancellation of many, tiny, randomly directed currents. These currents are manifest as noise in any resistive element, e.g., in the contact resistances of any transistor, in the base resistance of an HBT, and in the channel resistance of a FET. In 1928, Johnson determined experimentally that a voltage appeared across an open- circuited resistor. The mean-square value of this voltage was found to be proportional to the resistance and to the temperature. A theoretical basis for this result from thermo- dynamical considerations was provided by Nyquist in the same year [1, Chapter 5]. The spectral power density of thermal or Johnson noise is S t h = 4k B T R . (17.5) and the corresponding mean-square noise voltage is : 2 t h = 4k B T RLf . (17.6) Therefore, to represent a noisy resistor in an equivalent circuit, one can replace the real resistor R with the Th´ evenin equivalent of an ideal, noiseless resistor R in series with a voltage source of magnitude √ 4k B T RLf . Alternatively, a parallel combination of noise-current source and noiseless resistor could be used, with the current source being specified by i 2 t h = 4k B TLf R . (17.7) Note that thermal noise has a flat frequency response; hence its popular name of ‘white noise’. It is heard as ‘audio hiss’, and seen as ‘TV snow’. As a yardstick, note that a 50 O resistor generates a noise of ≈1 nV over a bandwidth of 1 Hz. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 17.2 Noise inherent to transistors 301 Generally, the resistance of a block-shaped object of length L and cross-sectional area A is R = L σ A . (17.8) where, using an n-type semiconductor as an example, the conductivity σ due to the majority carrier electrons is (5.32) σ n = qnj e . (17.9) Immediately, one can appreciate the importance of employing high-mobility material for transistors intended for low-noise operation. HBTs, MESFETs, and HJFETs that utilize III-V compound semiconductors (see Fig. 11.1) take advantage of this fact. The design features of the HEMT discussed in Section 11.3 (no ionized-impurity scattering, carrier confinement away from the heterointerface, carrier motion restricted to two dimensions) give this transistor the capability of attaining the highest mobility of all, which contributes greatly to its exceptional noise performance. Competition comes from the HBT, which capitalizes on its geometrical features of large area and short base width to produce a current path that is not constricted by a narrow channel. 17.2.2 Shot noise Shot noise arises when there is a direct current in a material. It is due to the fact that the charges, whose flow determines the current, are discrete and independent, i.e., the flow is not continuous on a microscopic scale. Let us consider electrons as the charge carriers. If one could observe the net number of electrons crossing a particular plane in a conductor in a particular direction during a specified time interval, then that number would vary slightly about some mean value. This variation about the mean value would be the shot noise. It is not possible to detect shot noise in a uniform conductor because it is obscured by the random thermal motion of the electrons. For shot noise to be evident, the conductor must be part of a structure that allows essentially unidirectional flow. An np-junction is such a structure. Under forward bias, for example, the injection of each electron from the hemi-Maxwellian distribution on the n-side of the junction into the p-side is an independent and random event. Each injection event causes a random current pulse that can be detected in the external circuit. If you could hear it, it would sound like buckshot (pellets) striking a hard floor. For an electronic current made up of random, independent pulses of average value I DC , Fourier Analysis reveals that the electron shot noise current is given by [1, Chapter 2] i 2 shot = 2qI DC Lf . (17.10) Evidently, this is also a white noise source. For a DC current of 1 mA, a noise of ≈18 pA is generated over a bandwidth of 1 Hz. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 302 17 Transistors for low noise Forward biased np-junctions occur in HBTs, solar cells, LEDs and in MOSFETs when operating in the sub-threshold regime. Thus, shot noise arises in all these cases, e.g., it originates in the base and emitter currents of HBTs, and in the source current of MOSFETs. For MOSFETs in the above-threshold regime, the source/body np-junction is shorted by the inversion layer, in which case thermal noise in the resistive channel dominates. Tunnelling is another transport mechanism in which the charge flow is due to random movements of independent carriers. Therefore, gate leakage currents in MOSFETs are another source of shot noise. HJFETs are less prone to this because of the relatively large thickness of the barrier layer. However, if the gate/barrier Schottky diode is forward biased, as in enhancement-mode HJFETs, there will be shot noise in the gate current. 17.2.3 Flicker noise If there are imperfections or defects in the crystalline semiconductor through which the charge carriers flow, then the moving electrons (say) can be impeded, giving rise to a fluctuation in the current that is called flicker noise. The deviations from crystalline perfection can be regarded as producing local variations in, for example: the recombination-generation rate in the bulk; the recombination velocity at a surface; the carrier mobility, the carrier density or the bandgap in the bulk or at a surface; the tunnelling rate between different regions in a device. Irrespective of the particular mech- anism that is operative in any one device, the characteristic signature of flicker noise is an inverse dependence on frequency S flick ∝ 1 f α . (17.11) where, often, α ≈ 1, hence the alternate name of 1,f noise. Sometimes this noise is referred to as ‘pink noise’, in viewof its existence at long wavelengths (lowfrequencies). Let us consider, for example, the situation at the semiconductor/insulator interface of a N-MOSFET. This is obviously an inhomogeneous region, within which local variations in transport-related properties are to be expected. We will consider the situation depicted in Fig. 17.1, where local variations in the electrostatic potential give rise to electron traps of varying depth. Electrons can be captured in these potential wells, before being released (by thermal emission) at some variable time τ later. It is reasonable to assume that τ is longer for deeper wells; a relationship that has been used is [2] τ = τ 0 e E a ,k B T . (17.12) where E a is the activation energy (depth of a potential well (trap)). For a normalized distribution of activation energies g(E a ) within the range bounded by the deepest trap E a2 and the lowest trap E a1 , and for a uniform distribution of activation energies within this range, _ E a2 E a1 g(E a ) dE a = 1 and g(E a ) = 1 E a2 − E a1 . (17.13) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 17.2 Noise inherent to transistors 303 Energy x 2 E C (x) t 1 + t 1 t 1 t 2 t 2 +t Figure 17.1 Illustration of capture, at various times t , and release, after various times τ, of electrons from traps of varying depths caused by crystalline imperfections at a semiconductor surface. It can then be shown [2] [1, p. 126], that the spectral number density is S N ( f ) = LN 2 k B T (E a2 − E a1 ) 1 f . (17.14) where LN 2 is the variance of the number N of untrapped carriers contributing to conduction in the channel. The key point here is that the noise spectrum has a 1,f dependence. Traps and imperfections are often associated with interfaces, particularly between poorly lattice-matched materials. Hence, 1,f noise is much in evidence in MOSFETs. In MESFETs, one side of the channel is defined by the ‘smooth’ edge of a depletion region, rather than by a ‘rough’ semiconductor/oxide heterojunction, so flicker noise is less evident in this transistor. In HJFETs, the use of lattice-matched materials, and a 2-DEGthat keeps the centroid of channel charge away fromthe interface (see Fig. 11.8b), lead to the possibility of very low 1,f noise. In all FETs, the interface that defines the channel has a length that is about as long as the gate length, so another requirement of low-noise FETs is that L be small. 17.2.4 Induced gate noise Noise in the channel of FETs, whether it be due to thermal noise fromthe resistive nature of the channel, or to 1,f noise due to the ‘charging’ and ‘discharging’ of localized traps, creates a time-varying local charge that couples capacitively to the gate electrode via C gs and C gd . The impedance of these capacitive components decreases with frequency, so the induced gate noise becomes prominent at high frequencies. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 304 17 Transistors for low noise flicker shot + thermal corner frequency log 10 l o g 1 0 S f Figure 17.2 General form of the spectral density for the case of uncorrelated noise sources. 17.2.5 Adding-up the noise Imagine that two noise sources in a transistor are effectively in series. Because of the mean-square representation of the noise, the total noise is : 2 n = : 2 n1 ÷: 2 n2 ÷2: n1 : n2 . (17.15) If the noise sources arise from separate physical mechanisms they are independent of each other, and are said to be uncorrelated. This is the case for all the noise mechanisms discussed in this chapter, with the exception of gate-induced noise, which is correlated with the noise in the drain current. In the uncorrelated case, the total noise is simply the algebraic sum of each individual noise component. The overall spectral density will then have the general form shown in Fig. 17.2. The frequency at which the extrapolations of the white and pink noise terms intersect is called the 1,f corner frequency. In HJFETs specifically designed to have low noise, this frequency may approach 1 GHz for the drain-current noise. This is primarily because in HJFETs designed for high mobility (HEMTs), the correspondingly high transconductance makes for a low channel resistance, thereby reducing the principal intrinsic source of thermal noise. Additionally, HJFETs are well-suited to low-noise applications because they have less shot noise than HBTs and less flicker noise than MOSFETs. For these reasons we will concentrate on the HJFET in the remainder of this chapter. 17.3 Representation of noise in an equivalent circuit Noise is, essentially, a small signal, so it is natural to account for it in a transistor by adding noise-voltage sources and noise-current sources, where appropriate, to the small- signal equivalent circuit of the transistor. We consider the case of an HJFET intended for a low-noise amplifier application at high-enough frequencies for flicker noise not to be an issue. Additionally, for simplicity, we neglect any shot noise, such as might arise P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 17.3 Representation of noise in an equivalent circuit 305 i R L NL v NT R sig v Nsig i NT Noiseless network + + + s g d ds (a) (b) + + d s s g g m v gs g Ngs i Ngd i Nds i d Ns R s R gs R g R R gd v Ng v Nd v Figure 17.3 (a) Small-signal equivalent circuit for an HJFET at high frequencies. Noise sources have been added to account for thermal noise in all the resistive components of the circuit. (b) Equivalent representation of (a): the noise sources within the dashed box of (a) have been replaced by two noise sources in the external input circuit. A signal generator at the input and a resistive load at the output are also included. from leakage current to the gate. Thus, only thermal noise sources are included in the equivalent circuit shown in Fig. 17.3a. Each noise source is designated by the inclusion of ‘N’ in its subscripted notation. The basis for this circuit is the equivalent circuit for a FET shown in Fig. 14.12. The differences are: the upper-case subscripts have been changed to the more conventional lower-case; the prime has been dropped from the capacitor labels, but these elements still represent total capacitances; the transcapacitance element has been omitted, which implies that non-reciprocity is not important and justifies writing C sd as the more usual C ds ; g dd has been written as the more traditional g ds ; ‘intrinsic charging resistors’ P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 306 17 Transistors for low noise R gd and R gs have been included in series with the respective components of the gate capacitance. These resistors are not easy to quantify a priori, and their values are usually determined from measurements on an actual device. They represent the resistive paths within the actual device connecting the gate to either the source or the drain. They are relevant here because they are sources of thermal noise. R gs is particularly important because it is on the input side of the device, so its associated noise is amplified by the transistor. The noisy network in Fig. 17.3a can be replaced by an equivalent arrangement in which the noise sources are separated from the physical components of their origin, and are represented by two noise generators at the input to the now noiseless transistor network (see Fig. 17.3b). For the two arrangements to be equivalent, the terminal currents and voltages (noise and signal) must be the same. For this to happen, the sources representing the total noise, i NT and : NT are likely to be correlated, even though the individual noise sources in Fig. 17.3a are not. Also shown in Fig. 17.3b are a signal source, which adds some noise to the system via its series resistance, and a noisy resistor for the load. 17.4 Noise figure Here, we employ the noise figure NF as a figure-of-merit by which a transistor’s noisiness can be characterized. It does this by comparing the noise that the transistor itself generates at the output of the network, to the noise at the output that comes from the amplification of any noise in the input signal. In other words, the noise figure quantifies howthe signal- to-noise ratio is degraded as the signal and the input noise pass through the transistor. The noise figure can be derived from the ratio of input and output signal-to-noise- ratios: NF = 10 log 10 _ S i ,N i S o ,N o _ ≡ 10 log 10 _ 1 G A N o N i _ = 10 log 10 _ total output noise amplified input noise _ = 10 log 10 _ 1 ÷ inherent noise amplified input noise _ ≡ 10 log 10 (F) . (17.16) where G A is the small-signal power gain that is available on account of the partic- ular matching at both the input and the output, and F is defined as the noise factor. Measurements of NF and F are usually reported at a standard temperature of T 0 = 290 K. We showed in Section 14.6 how the maximum available gain MAG of a transis- tor depended on correctly matching the impedance of the signal source to that of the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 17.4 Noise figure 307 transistor’s input, and also on correctly matching the load to the output impedance. Similarly, minimum noise is added to the output of a transistor when there is appro- priate matching at the input and the output [3]. When NF is minimized in this way we have the minimum noise figure NF min . To derive an expression for NF min is an exercise in Circuit Analysis: the noise sources in Fig. 17.3a have to be transferred to the input, the signal-source properties have to be adjusted to give minimum noise, and the noise and signal at the output have to be determined. To obtain a tractable, analytical solution various simplified models have been proposed [4, Chapter 17]. Here, we start with the already approximate circuit of Fig. 17.3a, and further simplify by ignoring the drain-related components, C gd , R d , and R gd . The remaining resistive/conductive components, R g , R gs , R s , and g ds , are then treated as contributing thermal noise, each at a temperature that may not necessarily be T 0 . In essence, this is the approach followed by Roblin and Rohdin [4, p. 592], and their resulting equation is F min = 1 ÷2 _ f f / T _ ¸ g ds T d T 0 _ R gs T g T 0 ÷ R g T a T 0 ÷ R s T a T 0 _ . (17.17) where f / T is the intrinsic f T when C gd is ignored (see (14.40)), T a is the ambient temperature, and T d and T g are adjustable model parameters for characterizing thermal noise in the channel, at the drain-end and under the gate at the source-end, respectively. Usually, T g ≈ T a , because R gs is associated with the source end of the channel where the field E x is relatively weak. Towards the drain end, where the field is higher, velocity saturation can occur. As we saw in Section 5.4, this phenomenon is associated with significant transfer of energy to the lattice; this is modelled here by attributing a large value to T d . Fig. 17.4 shows plots of NF min from (17.17) for an HJFET with the parameters listed in the figure caption. The upper and lower curves of the simulated set show the effect of decreasing g m alone, and of decreasing both g m and T d , respectively. The intention is to indicate that NF min is dependent on the applied biases: decreasing V GS while remaining in the saturation mode would reduce the drain current and g m , whereas reducing V DS would reduce E x and, presumably, T d . Thus, there will be some set of bias conditions at which NF min has a minimum value. The minimum noise figure for an actual low-noise HEMT is also shown in Fig. 17.4. 17.4.1 Associated gain Unfortunately, the source impedance that minimizes the noise to allow attainment of NF min is not the same as the source impedance that maximizes the power gain. Thus, the available gain under minimum-noise conditions is less than the maximum possible gain MAG, which was introduced in Section 14.6. The available gain associated with operating under minimum-noise conditions is called the associated gain. Fig. 17.5 illustrates the difference between the two gains for a high-performance, low-noise HJFET from Triquint. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 308 17 Transistors for low noise 0 20 40 60 80 100 0 0.5 1 1.5 2 2.5 3 Frequency (GHz) M i n i m u m N o i s e F i g u r e ( d B ) g m = g m0 , T d = T d0 g m = 0.9g m0 , T d = T d0 g m = 0.9g m0 , T d = 0.6T d0 Figure 17.4 NFmin for HJFETs. The line data are computed from (17.17) using the following parameters kindly supplied by Hans Rohdin of Avago Technologies: g m0 = 78.7 mS, g ds = 3.25 mS, C gs = 42.65 fF, R s = 7 O, R gs = 0.1 O, R g = 6.42 O, f / T = 264 GHz, T g = T a = T 0 = 290 K, T d0 = 3100 K. The symbol data is from a low-noise, 150-nm gate-length, AlGaAs/GaAs HEMT from Triquint, courtesy of Tony St. Denis. The gate is 200 µm wide, V DS = 1 V, I D = 10 mA. 0 20 40 60 80 100 0 5 10 15 20 25 30 Frequency (GHz) G a i n ( d B ) Associated Gain MSG/MAG Figure 17.5 Associated gain, maximum stable gain, and maximum available gain of a low-noise, 150-nm gate-length, AlGaAs/GaAs HEMT from Triquint, courtesy of Tony St. Denis. The gate is 200 µm wide, V DS = 1 V, I D = 10 mA for the associated gain measurement and 15 mA for the MSG/MAG measurement. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 References 309 Exercises 17.1 Fig. 17.4 compares minimum-noise-figure calculations from (17.17) with mea- sured data from a low-noise HEMT from Triquint. This measured data is given in the table below. The measured f T for this transistor is 135 GHz, and can be taken as the intrinsic value. Use this value of f T in (17.17), along with values for the other parameters from the caption to Fig. 17.4, and plot both the measured and calculated data on a graph similar to Fig. 17.4. Perhaps you will be as surprised as I was that the two curves are in such good agreement. Improve the fit by slightly varying the adjustable parameters T g and T d . f NF min f NF min f NF min (GHz) (dB) (GHz) (dB) (GHz) (dB) 1 0.04 36 1.32 72 2.28 4 0.16 40 1.46 76 2.38 8 0.32 44 1.58 80 2.48 12 0.48 48 1.68 84 2.56 16 0.64 52 1.8 88 2.64 20 0.78 56 1.9 92 2.7 24 0.92 60 2 96 2.78 28 1.06 64 2.1 100 2.86 32 1.2 68 2.2 References [1] A. van der Ziel, Noise in Solid State Devices and Circuits, John Wiley & Sons, Inc., 1986. [2] M. Chertouk, A. Chovet and A. Clei, 1,f Trapping Noise Theory with Uniform Distribution of Energy-activated Traps and Experiments in GaAs/InP MESFETs Biased from Ohmic to Saturation Region, AIP Conf. Proc., vol. 285, 427–432, 1993. [3] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design, 2nd Edn., Appendix L, Prentice-Hall, 1984. [4] P. Roblin and H. Rohdin, High-speed Heterostructure Devices, Cambridge University Press, 2002. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18 Transistors for the future Predicting the future is the realm of prophets and gamblers. Generally speaking, engineers belong to neither of these groups. However, it is evident that the trend in high-performance transistors is towards smaller and smaller devices, so we might reasonably expect this to continue into the near future. This miniaturizing trend has led, in the early part of the 21st century, to much talk about nanoelectronics. In my opinion, nanoelectronics does not mean, contrary to popular belief, merely the scaling down of transistors to devices with feature sizes of the order of tens of nanometres. If it did, then present-day devices, such as InGaP/GaAs HBTs with basewidths of around 30 nm, Si MOSFETs with channel lengths of ≈40 nm, and InAlAs/InP HJFETs with channel thicknesses of a few nanometres, would already qualify as nanotransistors. What nanoelectronics implies, to me at least, is the fabrication of transistors from the ‘bottom up’, rather than from the ‘top down’. The latter process is the one that applies to all the HBTs, MOSFETs, and HJFETs discussed in earlier chapters: it involves the making of something small from something large using photolithographic masking techniques. Contrarily, a true nanotransistor is built-up from something even smaller, such as a molecule or a nanoparticle. This opens up opportunities for new methods of self-assembly (perhaps using biological recognition), and for new3-Dcircuitry (perhaps using a molecular backbone), that cannot be matched using conventional processing techniques [1]. Smaller transistors, as explained throughout this book, are desired because they enable portable, higher performance electronic products, for which much of the world’s population appears to have a craving. One of the difficulties that arises with densely packed transistors is heat dissipation, as discussed in Section 13.1.10. One way in which future transistors might avoid this problem is by using very few electrons. An extreme example of this is the single-electron field-effect transistor (SET), in which the drain current is due to tunnelling of electrons that are accumulated singly in a capacitor ‘island’ between the closely spaced source and drain [2]. Another example is the molecular switch, involving a voltage-controlled oxidation/reduction reaction of a complex molecule, each end of which is connected to an electrode [3]. Another way of reducing power would be to not rely on electron transport, but rather on the change of spin of electrons [4]. Clearly, such a device would also be very fast. Although ‘spin- FETs’, SETs, and molecular switches have been under investigation for many years, as the dates of the cited references attest, the prospect of commercial devices is not imminent. 310 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18.1 1-D carrier basics 311 Still within the realm of nanotechnology, but returning now to the traditional, gate- controlled, multi-electron-transfer type of device, two candidate nanotransistors are FETs utilizing either semiconducting nanowires (Si, Ge, GaN, InAs are being tried), or nanotubes (carbon). The cylindrical geometry of these devices begs an all-around gate, which would be the ultimate electrostatic solution to the short-channel-effect problem. The use of materials such as InAs and C, in which an extremely high mobility can be obtained, would be advantageous as regards many features: ON-current, transconduc- tance, f T , f max , noise figure, and parasitic resistance. The small diameters of these embryonic transistors (≈1–5 nm), and the small channel lengths (≈10 nm), which can be anticipated if these devices are to be significantly smaller than planar Si MOSFETs, mean that the active volume of these transistors is going to be very small. This raises an interesting, and very practical, question: what is the achievable repeatability of dopant incorporation in such small structures? For example, a wire with a length of 10 nm, a radius of 2 nm, and a high-ish doping density of 8 10 18 cm −3 , would contain approximately 1 dopant atom! One way to circumvent the issue of fluctuations in doping density would be to avoid using extrinsic semiconductors. For example, an intrinsic semiconductor could be used for the channel, and metals could be employed for the source and drain regions. We highlight several properties of such a Schottky-barrier nanoFET later in this chapter. Such a transistor, if made from a nanocylinder, would be essentially a 1-D structure, and if its length were short, then there would be the possibility of ballistic transport between the source and drain. Therefore, in the next two sections, we describe some basic properties of 1-D semiconductors, and develop an expression for the drain current due to ballistic transport in a 1-D FET. To appreciate the length scale at which ballistic transport might become operative, con- sult (5.45), which is an expression for the equilibrium mean-free-path length ¯ l 0 between collisions in terms of the mobility and the effective mass. Taking near-intrinsic values for mobility (0.12 and 0.8 m 2 V −1 s −1 for Si and GaAs, respectively), and corresponding effective masses of 0.19 m 0 and 0.066 m 0 , gives ¯ l 0 ≈ 25 nm for Si, and ¯ l 0 ≈ 100 nm for GaAs. 18.1 1-D carrier basics In this section expressions are derived for the following one-dimensional properties: the density of states, the electron concentration, the effective density of states and the mean, unidirectional thermal velocity. These differ from the corresponding 3-D expressions given in Chapter 3 and Chapter 4 mainly by the order of the Fermi-Dirac integrals involved. 18.1.1 Density of states We assume that the wire or tube is long enough so that periodic boundary conditions can be applied, in which case the spacing between states in k-space is 2π,L (from P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 312 18 Transistors for the future Section 2.4), where L is the length of the semiconductor, and k is the wavevector in the longitudinal direction. Allowing for spin, there can be two electrons per momentum state; therefore, the number of states per unit real-space length in a length δk of k-space is simply g(k)δk = δk π . (18.1) To find the number of states in an equivalent element δE in energy, note that whatever variable we use, k or (E − E C ) in this case, the actual spatial density of states must be unchanged. Thus, _ ∞ −∞ g(k) dk = _ ∞ 0 g(E − E C ) d(E − E C ) . (18.2) From this it is clear that g(E − E C )δ(E − E C ) = 2g(k)δk = 2 π δk . (18.3) Making use of the general relationship for the band-determined carrier velocity (2.23), we obtain the desired expression for the number of states per unit length and unit energy, i.e., the 1-D density of states: g(E) = 4 h:(E) E ≥ E C . (18.4) Assuming a parabolic band, (2.31) can be used to obtain an explicit relation between g and E: g(E) = 2 h ¸ 2m ∗ (E − E C ) E ≥ E C . (18.5) There is no ambiguity about the value to take for m ∗ as the system is one-dimensional. Note that g in the 1-D case goes to infinity at the conduction-band edge (see Fig. 18.1). In fact, because we are considering wires or tubes of finite radius, and because this radius is very small, there will be quantum confinement of the wavevector in the radial or circumferential directions, respectively. For a tube of circumference c, for example, the allowed circumferential wavevectors are k c = nπ,c, where n ≥ 1 is an integer. For each allowed value of k c there is an E-k band called a sub-band. Several of these are shown in Fig. 18.1. The associated g(E) profile has a sawtooth appearance. 18.1.2 Carrier density The equilibrium carrier density for one sub-band follows from (4.9). Specifically, for electrons, n 0 = _ ∞ E C g(E) f 0 (E) dE ≡ _ ∞ 0 g(a) f 0 (a)k B T da . (18.6) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18.1 1-D carrier basics 313 Figure 18.1 E C -k relation for several sub-bands and positive k, and density of states g for two carbon nanotubes of different diameter: d = 1.25 nm (solid line), d = 1.02 nm (dotted line). Courtesy of Leonardo Castro, ex-UBC. where, as used in Section 4.3, a = (E − E C ),k B T. Taking f 0 as the Fermi-Dirac distribution function gives: n 0 = 2 _ 2πm ∗ k B T h 2 _ 1,2 F −1,2 (a F ) ≡ N C F −1,2 (a F ) . (18.7) where a F = (E F − E C ),k B T. The second form of this equation is the 1-D equivalent of (4.10); it serves also to define the 1-Deffective density of states N C corresponding to the 3-D version in (4.11). Evidently, the two N C ’s differ only in the power of the exponent. 18.1.3 Mean, unidirectional velocity of a 1-D equilibrium distribution Following the procedure described for the 3-D case in Section 4.5, it is straighforward to show that the mean thermal speed for a 1-D equilibrium distribution is : t h = _ 2k B T πm ∗ F 0 (a F ) F −1,2 (a F ) . (18.8) This equation is the 1-D equivalent of (4.29). Because we are working in only one dimension, the construct for obtaining : R from : t h is a line, rather than a sphere, which was the case for 3-D (see Fig. 4.5). Thus, in the 1-D case, the mean, unidirectional velocity : R of the entire distribution is simply : t h ,2. The mean, unidirectional velocity of the distribution that is moving in the positive direction is 2: R ; thus it is exactly the same as : t h . The 3-D and 1-D magnitudes of 2: R are compared in Fig. 18.2. At low carrier concentrations there is essentially no difference between 2: R in the two cases. But as the carrier concentration increases, the electrons in the 1-D distribution move increasingly faster. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 314 18 Transistors for the future 0 5 10 1 1.5 2 2.5 3 (E C E F )/k B T 2 v R / ( 2 k B T / π m * ) ( 0 . 5 ) 3-D 1-D Figure 18.2 Comparison of the mean, unidirectional equilibrium thermal velocity for 3-D and 1-D semiconductors. T = 300 K, m ∗ = 0.1m 0 . 18.2 1-D ballistic transport Consider the case of electrons being injected into a 1-D semiconductor from two 1-D metallic contacts: the source on the left of Fig. 18.3a, and the drain on the right. The electrons are at an energy E that is greater than the energy E C of the edge of the first sub-band in the semiconductor. Quantum mechanical reflection at the two metal/semiconductor interfaces leads to some of the incident flux from the source J S being reflected and some being transmitted into the drain. An analagous situation pertains to electrons in the incident flux fromthe drain J D . Here, the subscript indicates the origin of the carriers (source or drain). Adding up the two fluxes in the drain, for example, gives the total spectral current of electrons: J e (E) = T(E)J S (E) ÷[R(E) −1] J D (E) = T(E) [J S (E) − J D (E)] . (18.9) where T(E) and R(E) are the transmission and reflection probabilities, respectively, at energy E for the entire system. The incident electron currents at some energy E are simply the products of the charge and the velocity of electrons with k > 0. At the source, for example, J S (E) = −q g S (E) 2 f S (E) · :(E) . (18.10) where the factor of 1,2 in the density of states arises because only states in the positive- going half of the distribution contribute to the injection; f S (E) is the Fermi-Dirac P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18.2 1-D ballistic transport 315 FS E FD (b) (a) metal drain metal source E D RJ D TJ S RJ S TJ S J C E F E J S RJ S TJ S J DS qV D J D J conductor semi– D Figure 18.3 Incident, reflected, and transmitted components of electrons in currents originating in the source (J S (E)) and in the drain (J D (E)). T(E) and R(E) are transmission and reflection probabilities, respectively. (a) At equilibrium. (b) At a sufficiently large bias V DS for the drain-originating electrons to be totally reflected. distribution function for electrons in the source. J D (E) can be written analogously. Substituting into (18.9), and making use of (18.4), leads to the Landauer expression for the electron current: J e = −q 2 h _ E T(E) [ f S (E) − f D (E)] dE . (18.11) Notice how g and : are no longer explicit in this equation because their product is a constant. In Fig. 18.3a the Fermi levels in the source and drain are at the same energy, so (18.11) correctly predicts that the current is zero. Now consider applying a bias V DS > 0. As Fig. 18.3b shows, E FD drops below E FS , so [ J e [ increases. Eventually, the barrier for electron injection from the drain is so high that the current saturates at I Dsat ≡ J e at saturation = −q 2 h _ E T(E) f S (E) dE . (18.12) In a FET, this current is altered by applying a gate bias: this varies T(E) by changing the shape of the E C profile. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 316 18 Transistors for the future 18.2.1 Dimensions for current density Note that in (18.12) we made no distinction between the current and the current density (except for a conventional sign). This is because, in a 1-Dsystemthey have the same units. To appreciate this refer to (3.26) for the fundamental expression for carrier concentration, multiply it by −q: to get a current density, and the result is J e (α) = −q O filled states : k ⇒ −q O 2 (2π) α O _ ¯ k f ( ¯ k): ¯ k d ¯ k . (18.13) where α is the dimensionality of the volume under consideration, and f is the distribution function. Thus, the units are Am −2 , Am −1 , A, for α = 3. 2. 1, respectively. 18.2.2 Local density of states An informative way of following the injection of charge into the 1-D semiconductor is via the local density of states LDOS. This is defined as G S (x. E) = ψ S (x. E)ψ ∗ S (x. E) . (18.14) where ψ S (x. E) = A S (x. E)e i k S (x.E)x (18.15) is the wavefunction at some point x and some energy E of an electron issuing from the source. Thus, the LDOS refers in this case to the states in the semiconductor that are available for occupancy by electrons injected from the source. An example of the LDOS for a Schottky-barrier carbon nanotube FET is shown in Fig. 18.4, where A S (x. E) has been normalized as described in the next subsection. There is a large change in k as electrons enter and leave the semiconductor, and this gives rise to resonances and quasi- bound states 1 . Five levels of quasi-bound states are readily identifiable in this example. The distortion is caused by the presence of a drain voltage: this produces a potential variation of the first conduction sub-band edge, which is superimposed on the figure. There is injection from both the source and the drain contacts, as evinced by the states within the bandgap at each end of the device. 18.2.3 Evaluating the charge The actual charge due to source-injected electrons, for example, is Q S (x. E) = −qG S (x. E) f S (E) . (18.16) To evaluate this we need to know ψ S (x. E)ψ ∗ S (x. E) absolutely. This need does not arise when evaluating the current because that depends on the wavefunctions via T(E), which is a ratio, not an absolute value. Thus, we need to normalize the amplitude of the wavefunction. 1 The states are not fully bound, as in a deep potential well for example, because electrons in the states do escape to the contacts. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18.2 1-D ballistic transport 317 −5 0 5 10 15 20 25 −0.8 −0.6 −0.4 −0.2 0 0.2 z (nm) E n e r g y ( e V ) Figure 18.4 LDOS for a carbon nanotube FET with tube of length 20 nm and Schottky-barrier source and drain contacts. V DS = 0.5 V and V GS = 0.9 V. The profile of the edge of the first conduction sub-band is shown. Courtesy of Leonardo Castro, ex-UBC. The usual procedure of normalizing via _ O ψψ ∗ dO = 1 is not possible here because the electron is not confined to a known volume. We have open boundary conditions at the contacts, which are effectively regions of semi-infinite length, so we cannot assume that the entire wavefunction is confined to the vicinity of the semiconductor. Instead, we normalize via equating the Landauer current to the probability density current [5]. The latter follows from (5.52), and, for the source-originating electrons that have reached the drain, the probability density current is J P = −q k S (D. E) m ∗ (D) [ A S (D. E)[ 2 . (18.17) In the expression for the Landauer current, T(E) is given in the context of our example by T(E) = k S (D. E) k S (S. E) m ∗ (S) m ∗ (D) [ A S (D. E)[ 2 [ A S (S. E)[ 2 . (18.18) where the subscript S defines the origin of the injected electrons as the source; their wavevector is k S and the amplitude of the incident wavefunction is [ A S [. Within the P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 318 18 Transistors for the future brackets ( ), S or D denotes the position (source or drain) at which the particular property needs to be determined. Inserting into (18.11), and equating to the current given by (5.52), we obtain a definite value for the previously arbitrary amplitude: [ A S (S. E)[ 2 = m ∗ (S) k S (S. E) 1 π 2 f S (E) ≡ g S (E) 2 f S (E) . (18.19) Therefore, the desired relationship between probability density and charge for source- originating electrons is Q S (x. E) = −q g S (E) 2 f S (E) ˜ ψ S (x. E) ˜ ψ ∗ S (x. E) . (18.20) where ˜ ψ S is the un-normalized wavefunction. 18.3 Master set of equations for 1-D simulations (5.24) is the master set of equations for use in 3-D systems that are large enough to be treated ‘semi-classically’, i.e., quantum-mechanical phenomena, such as reflection, resonance, and tunnelling, can be ignored, and microscopic phenomena, scattering for example, can be represented by some average property (mobility in this case). In our brief treatment of 1-D nanoelectronic systems we have seen that quantum phenomena are very much in evidence. In particular, ensemble-averaged quantities such as mobility and : R are not usually employed because we try to keep track of each electron in its state k at energy E. Thus, Quantum Mechanics is very much to the fore, and this gives the new master set of equations a different appearance: d 2 V(x) dx 2 = − Q e (x) c Q e (x) = −q _ E [G S (x. E) f S (E) ÷G D (x. E) f S (E ÷qV DS ] dE J e (E) = −q 2 h T(E) [ f S (E) − f D (E)] Eψ(x. E) = _ E C (x)ψ(x. E) − 2 2m ∗ d 2 ψ(x. E) dx 2 _ . (18.21) where V is used for potential in order to avoid confusion with the wavefunction ψ. The effective-mass form of Schr¨ odinger’s equation is used in this example, and, for simplicity, the charge and the current are restricted to electrons. A self-consistent solution to the equations of Poisson and Schr¨ odinger is sought. The charge Q e is the link between these two equations, and the Landauer current is used in the normalization of the wavefunction ψ, as described in the previous section. Generally, a charge profile Q e (x) is chosen, and Poisson’s equation is solved for V(x), subject to appropriate boundary conditions. In a truly 1-D system these would be the potentials on P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18.4 Comparison of 1-D and 2-D currents 319 the end contacts. Taking the source as reference: V(0) = 0 and V(L) = V DS ÷ + S −+ D q . (18.22) where + is a work function, and the ends of the contacts (x = 0 on the source side, and x = L on the drain side) are determined by the edges of the space-charge regions at the metal/semiconductor junctions. The work-function difference term should be recognizable from Chapter 10 as the built-in voltage of the system. The resulting V(x) is then used in the Schr¨ odinger equation to solve for ψ(x), from which a new Q e (x) is found via the LDOS. The process is iterated until convergence at the desired tolerance is obtained. 18.4 Comparison of 1-D and 2-D currents In Fig. 18.2 we showed that there is some slight advantage as regards electron mean velocity in going from a 3-D- to a 1-D-structure. A more practical comparison would be between the currents in sytems of different dimensionality. This comparison is not easy to make for at least two reasons: (i) the potential profiles, and hence T(E), are likely to be significantly different; (ii) the current densities have different dimensions. Nevertheless, we’ll attempt to make a comparison between the currents in 1-D and 2-D systems. Such a comparison is relevant to FETs as the channel in MOSFETs is essentially a charge sheet, as discussed in Section 10.3.2. We circumvent the first difficulty by assuming that T(E) = 1 for all energies, i.e., we will be comparing the maximum theoretical currents. Setting T(E) = 1 in (18.12) and expressing the integral as a Fermi-Dirac integral gives I Dsat (1D) = −q 2 h k B TF 0 (a F ) . (18.23) By setting the transmission probability to unity we are removing the quantum- mechanical features fromthe treatment. Therefore, we could derive (18.23) by a classical treatment of the type we employed to get the current in 3-D systems. By ‘classical’ we mean taking an average value for the electron velocity. The relevant expression for the current density is J Dsat = −q n 0 2 2: R . (18.24) We do not have yet an expression for the current in a classical 2-D system. However, we can obtain such an expression for a 3-D system by substituting for n 0 from (4.10) and (4.11), and for : R from (4.29): J Dsat (3D) = −q 2 2 h 3 (k B T) 2 m ∗ πF 1 (a F ) . (18.25) It is possible to derive an expression for J Dsat (2D) directly, but, instead, let us use (18.23) and (18.25) to infer a general expression for the maximum current in terms of P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 320 18 Transistors for the future Table 18.1 Values for the coefficients to be used in (18.26) for the maximum current in systems of a given dimensionality. System α β γ 1-D 1 1 0 2-D 2 3 2 1 2 3-D 3 2 1 three coefficients, α. β. γ , that are dimension-dependent: J Dsat (αD) = −q 2 β h α (k B T) β (m ∗ π) γ F γ (a F ) . (18.26) The appropriate values for the coefficients for the different dimensionalities are given in Table 18.1. To make a comparison of currents in 1-D and 2-D, rather than current densities, we need to multiply the 2-D expression by the width Z of the channel. Here, we elect to take Z = 2d, where d is the diameter of the cylindrical semiconductor of the 1-D system. We use 2d rather than d because if we imagine assembling lots of nanowires or nanotubes in parallel, then some separation between them is needed to ensure that the gate electrode, rather than the neighbouring semiconductors, is the main determinator of the electrostatic conditions in each nanocylinder. Under these conditions, the ratio of maximum theoretical currents for 1-D and 2-D systems is I Dsat (1D) I Dsat (2D) = h 2d 1 √ 2k B Tm ∗ π F 0 (a F ) F 1,2 (a F ) . (18.27) To obtain a quantitative comparison, we consider two cases using parameters for carbon nanotubes: d = 1 nm, m ∗ = 0.08m 0 ; and d = 3 nm, m ∗ = 0.03m 0 . Results are shown in Fig. 18.5. In this example there is some advantage in terms of maximum theoretical cur- rent to employing a 1-D semiconductor. Let’s consider the case of (E F − E C ) = 10k B T, which corresponds to an electron concentration of ≈0.5 nm −1 in the 1-D case, and to ≈9 10 12 cm −2 in the 2-D case. The advantage in current of the 1-D case over the 2-D case, for the example of d = 1 nm, m ∗ = 0.08m 0 , is about 3 times. The theoretical current in the nanotube in this case is ≈20 µA. To put the latter number into some sort of practical perspective, realize that Si N-FETs in the 45-nm technology of 2009 can attain I Dsat values of about 1.5 mAµm −1 . Thus, for a width equivalent to 2d = 2 nm, the current would be 3 µA. The carbon nanotube FET looks very good in this comparison, and comes out even better when it is realized that the lowest conduction sub-band in carbon nanotubes is doubly degenerate, and that states could be populated in higher-order sub-bands. Thus, the maximum theoretical current is at least ≈40 µA. However, it would be prudent to keep in mind that the carbon nanotube figure is a theoretical maximum value, whereas the Si figure has been attained in practice. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18.5 Novel features of carbon nanotube FETs 321 −4 −2 0 2 4 6 8 10 1 2 3 4 5 6 7 8 (E F − E C )/k B T I m a x ( 1 − D ) / I m a x ( 2 − D ) d = 1 nm, m* * = 0.08 d = 3 nm, m = 0.03 Figure 18.5 Comparison of 1-D and 2-D currents. The width of the 2-D semiconductor is 2d, and results for two different cases are shown. 18.4.1 Energy dissipation in ballistic transistors The picture we have presented of ballistic transport is of an electron leaving the source at energy E and arriving at the drain at the same energy. Thus, there is no energy loss in the intervening semiconductor. This means that it should be possible to operate ballistic transistors at enormous current densities without risk of the device burning out. However, with reference to Fig. 5.7, if the metallic contacts to the semiconductor are to remain in a near-equilibrium state, then the electrons injected into the drain must eventually lose their excess energy in thermalizing collisions. Thus, the contacts get hot and become the defining region for the safe, operable current density. 18.5 Novel features of carbon nanotube FETs In the comparison of currents made in the previous section, carbon nanotube field-effect transistors (CNFETs) were pitted against Si MOSFETs. The comparison is interesting, but dangerous: it is very premature to conclude that CNFETs can take over from Si MOSFETs as the upholders of Moore’s Law. Carbon nanotube development is still in the laboratory stage, whereas Si MOSFETtechnology is highly advanced, and has proven capable of putting hundreds of millions of transistors on a chip, and of having that chip work reliably for many years. Perhaps it would be more useful to focus on any novel features of nanotransistors that could enable applications which seem beyond both Si MOSFETs and the other modern transistors treated in this book? Two such features of CNFETs are mentioned very briefly below. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 322 18 Transistors for the future Figure 18.6 Bias-dependent small-signal properties of a Schottky-barrier CNFET using a nanotube of diameter =1.26 nm, length =20 nm; source and drain work functions =3.9 eV; V DS = 0.5 V. (a) Gate-related capacitances; (b) transconductance; (c) f T . From Castro et al. [6], C _2005 IEEE, reproduced with permission. 18.5.1 Quantum capacitance and transconductance The LDOS shown in Fig. 18.4 become filled according to the positions of the contact Fermi levels E FS and E FD . In the instance shown, the energy of the first quasi-bound state is close to E FD : this means that charge is injected into the semiconductor from the drain. The energy of the quasi-bound states is determined by the gate-source voltage V GS , which is ≈0.9 V in this case. A change in semiconductor charge via the drain due to a change in gate voltage is represented by a capacitance C DG , using the notation of Chapter 12. As V GS is reduced, the edge of the first conduction sub-band is raised, and injection from the drain diminishes. Thus C GD is reduced. Further reduction in V GS eventually aligns the energy of the first quasi-bound states with the source Fermi level, resulting in a peak in the source/gate capacitance C SG . These capacitances are called quantum capacitances because they arise from quantum phenomena (LDOS), rather than from the usual, merely electrostatic interactions that are responsible for metal/insulator/metal capacitance, for example. Examples of this bias dependence of the capacitances in a CNFET are shown in Fig. 18.6a. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 18.5 Novel features of carbon nanotube FETs 323 Figure 18.7 Energy band diagram for a Schottky-barrier CNFET using a nanotube of diameter =1.26 nm, length =20 nm; work functions of the nanotube and all metals =4.5 eV; V DS = 0.4 V. Hole injection at V GS = 0.05 V (dotted line and arrow); hole and electron injection at V GS = 0.2 V (solid lines and arrows); electron injection at V GS = 0.35 V (dashed line and arrow). From Castro et al. [7], C _2004 SPIE, reproduced with permission. Part (b) of the figure shows that there is bias dependence in the transconductance also. Again, as V GS is increased, the band edge is ‘pushed down’ and g m shows a peak when the energy of the first quasi-bound state is close to E FS . Further increase in V GS lowers the barrier for electron injection into the drain. Thus, the overall current of electron flow from source to drain decreases, and so does g m . The net effect of these changes in capacitance and transconductance is an interesting bias-dependence in f T , as shown in Fig. 18.6c. Perhaps there is some useful application that could exploit this novel feature? 18.5.2 Ambipolarity So far in this chapter we have neglected holes, but in CNFETs they can be important. Because the conduction-band structure and valence-band structure are symmetrical in carbon nanotubes, holes can be just as easily injected into a nanotube as electrons, providing the work functions of the two contacts are favourable. Fig. 18.7 shows the situation for a Schottky-barrier CNFET in which the work func- tions of the source, drain, gate and nanotube are all the same. V DS is fixed and the profiles of the first conduction and valence sub-bands for three values of V GS are shown. At low V GS there is hole injection from the drain via tunnelling. Thus, the device is operating like a P-FET. This current diminishes as the barrier at the drain thickens on increasing V GS . However, the overall current does not keep on decreasing, as in a Si MOSFET (see Fig. 10.15 for an N-FET), because the barrier at the source becomes thinner, enabling P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 324 18 Transistors for the future Figure 18.8 Gate characteristics at V DS = 0.4 V for the CNFET used for Fig. 18.7, but with gate work function =4.2 eV, and various source/drain work functions: 3.9 (solid line), 4.2 (dotted line), 4.5 eV (dashed line). From Castro et al. [7], C _2004 SPIE, reproduced with permission. electron flow by tunnelling from the source. Therefore, the overall log I D -V GS curve shows a minimum, as illustrated in Fig. 18.8. Because of this inability to attain a very low OFF current, a CNFET with this partic- ular set of work functions would make a poor transistor for digital-logic applications. However, the ambipolarity of the device, i.e., its ability to inject both electrons and holes, means that, in long devices, there is likely to be significant electron-hole recom- bination within the nanotube. As the bandgap is direct in carbon nanotubes, this means that there can be light emission. Further, because the relative amounts of electron and hole injection depend on the band-bending at the two contacts, i.e., on V GS , then the position along the tube of the maximum in the n(x) p(x)-product will be determined by the gate bias. Thus, we have a light-emitting transistor with voltage control of the site of emission. Surely there is an application for this novel phenomenon? Exercises 18.1 Expressions for the mean, unidirectional velocity of electrons in 1-D and 3-D equilibrium distributions appear in the text. (a) Write down these expressions, and from them infer the expression for the mean, unidirectional velocity of electrons in a 2-D equilibrium distribution. (b) Confirm your intuition by deriving an expression for the 2-D : R . 18.2 It is instructive to calculate the current in a 1-D nanosystem using (18.11). To do this, consider an asymmetrical rectangular barrier, of the type shown in Fig. 5.8, and imagine this to represent a 1-D transistor, with Region 1 being the source, Region 2 being the channel, and Region 3 being the drain. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Exercises 325 For a particular example, take the energies in eV to be 0, 0.1 and −0.5 for U 1 , U 2 and U 3 , respectively. Make the channel 10 nm long, take m ∗ = 0.1m 0 in all regions, and evaluate the transmission probability T(E) for energies up to about 10k B T above U 1 . (a) You should find that T(E) peaks at values close to 1 at energies of 137, 251 and 443 meV. (b) Now use (18.11) to get the spectral current density. You should find it peaking at about 131 meV. (c) Finally, integrate the spectral current density to find that the current in this example is about 19 nA. 18.3 In Exercise 14.11 the small-signal y-parameters for a 2-port network were intro- duced, and used to examine an intrinsic MOSFET. Adding the parasitic resistances of the source, drain and gate to the circuit allows the extrinsic performance of the device to be examined. It is easier to add series resistances to an impedance network than it is to add them to an admittance network, so it is usual to employ z-parameters for the extrinsic case [8]. The resulting extrinsic z-parameters are: z 22e = y 33 ,Y ÷ R sd z 23e = −y 23 ,Y ÷ R s z 32e = −y 32 ,Y ÷ R s z 33e = y 22 ,Y ÷ R sg Y = y 33 y 22 − y 32 y 23 . (18.28) where R sg = R s ÷ R g and R sd = R s ÷ R d . Actual measurements on high-frequency devices usually employ s-parameters, as mentioned in Section 14.8. Conversions between all 2-port parameters are well-documented [9]. Here, we wish to examine the model parameters of a nanowire field-effect transistor. The measured s-parameters are given in the table below. The source and load impedances were 50 kO. (a) Use the conversion formulae to obtain the z-parameters and the y-parameters at the given frequencies. (b) Plot the appropriate functions of the z- or y-parameters on a graph fromwhich it is possible to estimate f T . (c) Plot Mason’s Unilateral Gain as a function of frequency and extrapolate to find f max . (d) Given that the resistances of the source, drain and gate are all 1 kO, 2 estimate the following device parameters: C gs , C gd , C sd , C m , g m , g dd . The smallness of the capacitances will give you further appreciation of the scale of nanodevice properties. 2 Yes, these are very large. That’s because it’s a nanowire transistor. It’s also why the source and load impedances for the s-parameter measurement are so high. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 326 18 Transistors for the future (e) Use your ‘reverse-engineered’ parameter values from the previous question to evaluate f T from (14.40). Hopefully there is excellent agreement with the value computed above from part (b). f s 33 s 32 (GHz) 1 0.99985150454822 − 0.01634532553216i 0.00001727511869 ÷ 0.00187960147356i 4 0.99762701570972 − 0.06530039195981i 0.00027605948194 ÷ 0.00750899184418i 10 0.98527101475528 − 0.16212743411278i 0.00171348446647 ÷ 0.01864175295064i 40 0.79015015095037 − 0.57753956483546i 0.02441224807920 ÷ 0.06630950138903i 100 0.18708292391116 − 0.89547971380160i 0.09455821595567 ÷ 0.10197010357623i f s 23 s 22 (GHz) 1 −2.64657736369830 ÷ 0.02617069629805i −0.32343549257613 − 0.00307926667866i 4 −2.64300928913059 ÷ 0.10455300242331i −0.32385070665862 − 0.01230196618653i 10 −2.62319029818602 ÷ 0.25958029893259i −0.32615703010880 − 0.03054522445715i 40 −2.31021796439278 ÷ 0.92448253847297i −0.36257812914205 − 0.10893550076219i 100 −1.34292424363059 ÷ 1.43160307403116i −0.47515899209335 − 0.16999464828556i References [1] Kinneret Keren, Rotem S. Berman, Evgeny Buchstab, Uri Sivan and Erez Braun, DNA- templated Carbon Nanotube Field-effect Transistor, Science, vol. 302, 1380–1382, 2003. [2] K.K. Likharev, Single-electron Devices and Their Applications, Proc. IEEE, vol. 87, 606– 632, 1999. [3] J. Chen, M. A. Reed, A. M. Rawlett and J. M. Tour, Large On-Off Ratios and Negative Differential Resistance in a Molecular Electronic Device, Science, vol. 286, 1550–1552, 1999. [4] S. Datta and B. Das, Electronic Analog of the Electro-optic Modulator, Appl. Phys. Lett., vol. 56, 665–667, 1990. [5] D.L. John, L.C. Castro, P.J.S. Pereira and D.L. Pulfrey, A Schr¨ odinger-Poisson Solver for Modeling Carbon Nanotube FETs, Tech. Proc. NSTI Nanotechnology Conf. and Trade Show, vol. 3, 65–68, 2004. [6] L.C. Castro, D.L. John, D.L. Pulfrey, M. Pourfath, A. Gehring and H. Kosina, Method for Predicting f T for CNFETs, IEEE Trans. Nanotechnology, vol. 4, 699–704, 2005. [7] L.C. Castro, D.L. John and D.L. Pulfrey, An Evaluation of CNFET DC Performance, Device and Process Technologies for MEMS, Microelectronics, and Photonics III, Proc. SPIE, vol. 5276, 1–10, 2004. [8] W. Liu, Fundamentals of III-V Devices: HBTs, MESFETs, and HFETs/HEMTs, p. 440, John Wiley & Sons Inc., 1999. [9] D.A. Frickey, Conversions between S, Z, Y, H, ABCD, and T Parameters which are Valid for Complex Source and Load Impedances, IEEE Trans. Microwave Theory and Techniques, vol. 42, 205–211, 1994. P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 19 Appendices 19.1 Appendix A: Physical constants Constant Symbol Value Units Boltzmann’s constant k B 1.38 10 −23 J/K Dirac’s constant 1.05 10 −34 J s Elementary charge q 1.6 10 −19 C Electron rest mass m 0 9.1 10 −31 kg Electron volt eV 1.6 10 −19 J Permittivity of free space c 0 8.85 10 −12 F/m Planck’s constant h 6.63 10 −34 J s Speed of light in vacuum c 3 10 8 m/s Thermal energy at 300 K k B T 0.0259 eV Thermal voltage at 300 K V t h or k B T,q 0.0259 V 19.2 Appendix B: Selected material properties This appendix indicates where to find information on the numerical values of those material properties that are used in the figures and examples in this book, or are required to complete some of the exercises. The symbols for the properties are also listed, thereby providing a glossary of selected terms. Those values that are listed here, and which do not appear elsewhere in the book, come mostly from Semiconductors on NSM; available online: [http://www.ioffe.rssi. ru/SVA/NSM/Semicond/]. The polarization properties of AlGaN come from Ambacher et al., J. Appl. Phys., vol. 85, 3222–3233, 1999. For evaluating Fermi-Dirac integrals, please see: R. Kim and M.S. Lundstrom, Notes on Fermi-Dirac Integrals, 3rd Edn., posted 23 September, 2008. Online [http://nanohub.org/resources/5475/]. 327 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 328 19 Appendices Diffusion length Si compute from √ Dτ L e . L h GaAs see Fig. 9.3 AlGaAs & InGaP take to be same as GaAs Diffusivity Si see Fig. 5.3 D e . D h GaAs see Fig. 5.3 AlGaAs & InGaP take to be same as GaAs Drift velocity Si see Fig. 5.2 : de . : dh GaAs see Fig. 5.2 InGaAs & Ge see Fig. 11.1 Effective density of states Si see Table 4.1 N C . N V GaAs see Table 4.1 AlGaAs & InGaP take to be same as GaAs Effective mass Si see Section 5.4.2 and Table 4.2 (conductivity) GaAs see Section 5.4.2 and Table 4.2 m ∗ e.CON . m ∗ h.CON InGaP take to be same as GaAs Effective mass Si see Table 3.2 (density of states) GaAs see Table 3.2 m ∗ e.DOS . m ∗ h.DOS InGaP take to be same as GaAs Effective mass Si see Table 2.1 (band structure) SiO 2 0.3 m ∗ e ,m 0 . m ∗ h ,m 0 GaAs see Table 2.1 InGaP take to be same as GaAs Al x Ga 1−x As m ∗ e = 0.067 ÷0.083x. m ∗ h = 0.48 ÷0.31x Electron affinity Si 4.01 eV χ SiO 2 0.9 eV GaAs 4.07 eV In 0.49 Ga 0.51 P 4.07 eV Al x Ga 1−x As 4.07 −0.64 1.247x eV Al x Ga 1−x N 4.1 −1.87x eV Energy bandgap Si see Table 2.1 E g SiO 2 8 eV GaAs see Table 2.1 In 0.49 Ga 0.51 P 1.89 eV Al x Ga 1−x As 1.424 ÷1.247x eV Al x Ga 1−x N x 2 ÷1.7x ÷3.42 eV Intrinsic carrier Si see Table 4.1 concentration GaAs see Table 4.1 n i = p i AlGaAs & InGaP compute from (4.19) Lattice constant Si 0.543 nm a SiGe see Fig. 8.3 GaAs 0.565 nm Al x Ga 1−x As 0.565 nm for x ≤ 0.4 In 0.49 Ga 0.51 P 0.565 nm Al x Ga 1−x N 0.3189 −0.0077x nm (cont.) P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 19.3 Appendix C: N-MOSFET parameters 329 Mean unidirectional Si see Table 4.2 thermal velocity GaAs see Table 4.2 : R.e InGaP take to be same as GaAs Al x Ga 1−x As use m ∗ e (x) in (4.30) Mobility Si see (5.30) and Fig. 5.3 j e . j h GaAs see (5.31) and Fig. 5.3 AlGaAs & InGaP take to be same as GaAs Recombination-Generation lifetime Si see (3.21) τ e.RG . τ h.RG GaAs see (3.22) AlGaAs & InGaP take to be same as GaAs Recombination parameters Si see Table 3.1 A e . A h . B. C. D GaAs see Table 3.1 AlGaAs & InGaP take to be same as GaAs Relative permittivity Si 11.9 (static value) SiO 2 3.9 c r or c,c 0 GaAs 12.9 Al x Ga 1−x As 12.9 −2.84x In 0.49 Ga 0.51 P 11.8 Al x Ga 1−x N 9.5 −0.5x Polarization – piezoelectric Al 0.3 Ga 0.7 N −0.0113 C/m 2 P Pz Polarization – spontaneous Al x Ga 1−x N −0.029 −0.052x C/m 2 P Sp Saturation velocity Si see Fig. 5.2 and Table 16.1 (electrons) GaAs see Fig. 5.2 and Table 16.1 : sat GaN see Table 16.1 19.3 Appendix C: N-MOSFET parameters The parameters listed below are used in many of the examples, figures, and exercises in this book. They are intended to be representative of Si NFETs at the 90-nm and 65-nm technology nodes. Data for the 45-nm technology node is not widely available, but perhaps will become so in the near future, in which case you can fill-in the blanks. Units CMOS90 CMOS65 CMOS45 L nm 90 65 45 t ox nm 2.3 1.7 c ox c 0 3.9 3.9 N A cm −3 8.3 10 17 2.6 10 18 j eff cm 2 (Vs) −1 230 600 : sat cms −1 7 10 6 9 10 6 V DD V 1.0 1.0 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Index abrupt junction, 91 absorption coefficient, 118 excitonic, 119 free-carrier, 119 air mass number, 117 ambipolarity, 324 associated gain, 307 avalanche breakdown, 281 back surface field, 121 band index, 12 band structure extended-zone, 9 real semiconductors, 14 reduced-zone, 10 bandgap engineering, 154 bandgap narrowing, 36 base graded, 260 HBT, 161 SiGe, 260 solar cell, 121 spreading resistance, 266 basis, 3 BiCMOS, 262 Bloch wavenumber, 7 Bloch’s Theorem, 7 blocking contact, 122 body-effect coefficient, 183, 185, 186, 189 Boltzmann Transport Equation, 64–67 boundary condition 1-D FET, 318 current in HBT, 158 effective-mass SWE, 25 infinite well, 203 periodic, 8 Bragg reflection, 11 Bravais lattice, 3 breakdown avalanche, 281 field strength, 281 Zener, 243 Brillouin zone, 11 I−point, 15 X−point, 15 built-in voltage 1-D FET, 319 Np-junction, 109 np-junction, 93 MESFET, 201 MOScap, 173 capacitance definition, 210 HBT base storage, 219 emitter storage, 220 junction, 218, 222 MOSFET extrinsic, 217 intrinsic, 213 negative, 214 non-reciprocity, 210 quantum, 322 reciprocal, 214 transit FET, 221 HBT, 220 carbon nanotube FET, 323 channel-length modulation, 236 charge sheet approximation, 179 chemical potential, 52 chemical potential energy, 92 chromaticity coordinates, 148 diagram, 148 CMOS BiCMOS, 262 bulk, 240 chip cross-section, 225 inventor of, 225 model parameters, 329 NAND gate, 226 silicon-on-insulator, 240 330 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Index 331 collisions mean free path, 78, 80, 311 types of, 49 colour gamut, 148 colour rendering index, 149 colour-matching functions, 147 conduction band, 12 conduction-band edge, 25 conductivity, 74 conductivity modulation, 287 constant-energy surfaces, 21–23 contact blocking, 122 ohmic, 122, 198 continuity equation charge density, 66 current density, 66 kinetic energy density, 67 crystal momentum, 9, 11 reduced, 11 crystal structure basis, 3 diamond, 3 sphalerite, 3 wurtzite, 290 zinc blende, 3 current ballistic, 63, 80, 311 charging, 212 diffusion, 77 drift, 75 general expression for, 64 leakage gate-induced, 243 MOSFET, 234 thermal, 79 deep depletion, 278 degenerate bands, 16 doping density, 55 density of states 1-D, 312 2-D, 206 3-D, 44 local, 316 depletion region Depletion Approximation, 96 width, 96 heterojunction, 110 under bias, 102 DIBL, 237 dielectric relaxation time, 101 diffusion, 78 ambipolar, 287 velocity, 107 diffusivity definition, 68 values for, 73 diode ideality factor, 108, 286 direct lattice, 13 distribution function, 43 Fermi-Dirac, 52 Maxwell-Boltzmann, 55 doping, 35 acceptor, 37 donor, 36 halo, 239 in nanostructures, 311 localized, 36 n-type, 37 p-type, 37 pocket implants, 239 retrograde in MOSFETs, 239 drain extensions, 238 DRAM, 277–279 buried word line, 279 evolution of, 278 plate electrode, 277 refreshing of, 278 Drift Diffusion Equation, 67 drift velocity, 71 saturation, 73, 281 effective density of states 1-D, 313 conduction band, 54 valence band, 54 effective mass band structure, 18 conductivity, 60, 75 crystal momentum, 16, 18 density of states, 45 longitudinal, 22 negative, 19 parabolic band, 21 reduced, 151 transverse, 22 Einstein Relation, 68 elastic constants compliance, 229 stiffness, 229 electrochemical potential energy, 93 electron affinity, 91 electron wavefunction, 6 electron-hole pair, 33 emitter HBT, 153 IGBT, 295 solar cell, 121 emitter-coupled logic, 246 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 332 Index energy balance, 288 energy band, 9 energy band diagram, 25 construction of, 94, 100 energy bandgap, 12 direct, 16 for solar cells, 129 indirect, 16 envelope function, 24, 82 equilibrium electron concentration, 52 Maxwell-Boltzmann, 54 equivalent circuit FET DC, 191 small-signal, 266 HBT DC, 164 small-signal, 256 HEMT, 304 hybrid-π, 255 eye sensitivity function, 146 Fermi level, 52 chemical potential energy, 92 electrochemical potential energy, 93 Fermi-Dirac integrals, 311 evaluation of, 327 order one-half, 54 FET depletion mode, 199, 201, 202 enhancement mode, 200 f max , 264 maximum frequency of oscillation, 269 forward blocking voltage, 293 f T , 257 at high current, 287 world record, 251 GIDL, 243 gradual channel approximation, 177 group velocity, 17 Hamiltonian, 6 HBT active region, 161 base current back-injection, 164 recombination, 162 collector current characteristic, 159 common-base gain, 166 common-emitter current gain, 166 current boundary condition, 158 cut-off region, 161 GaAs, 1 high-frequency, 259 high-power, 285 inverse mode, 161 measured f T , 256 normal mode, 161 quasi-neutral base, 156 saturation region, 161 hemi-Fermi-Diracian, 57 hemi-Maxwellian, 57 HEMT, see HJFET InP, 1 low-noise, 304 heterojunction band offset, 110 bandgap engineering, 154 lattice-matched, 143 Type I, 109 Type II, 109 HJFET field plate, 289 GaN, 1 high-power, 289 spacer layer, 206 holes, 19 heavy, 23 light, 23 hybrid-π equivalent circuit, 255 Hydrodynamic Equations, 69 IGBT, 294–296 image charge, 221 impact ionization, 34 injection high-level, 42 low-level, 42 insulator, 13 internal quantum efficiency, 119 kinetic energy density, 64 electrons, 25 mean thermal, 68 Kirk effect, 284 Landauer equation, 315 Law of the Junction, 105, 158 LED active layer, 140 confinement layers, 143 current efficiency, 140 extraction efficiency, 143 heterostructure diode, 140 high-brightness, 2, 139, 140 radiatiative recombination efficiency, 143 voltage efficiency, 139 wall-plug efficiency, 146 white light, 2, 148 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Index 333 Level 1 model MESFET, 200 MOSFET, 185 light-emitting transistor, 324 local density of states, 316 luminous efficacy, 146 luminous efficiency, 147 luminous flux, 146 macroscopic, 26 majority carrier, 37 Mason’s unilateral gain, 269 master set of equations, 71 1-D, 318 isothermal, 71 non-isothermal, 71 maximum available gain (MAG), 264 maximum stable gain (MSG), 269 Maxwellian distribution displaced, 76 mean thermal speed, 58, 313 mean, unidirectional velocity, 60, 313 mean free path, 78, 80, 311 memory dynamic, see DRAM flash, 1, 273–276 multi-level cell, 275 single-level cell, 275 non-volatile, 276 metal, 13 metallurgical junction, 98 microscopic, 26 Miller indices, 14 minority carrier diffusion length, 106 lifetime, 107 radiative, 42 mobility, 51 definition, 68 effective in MOSFET channel, 179 values for, 73 momentum, 3 MOSFET n-channel, 170 p-channel, 170 body, 170 body factor, 177 body-effect coefficient, 183, 185, 186, 189 channel, 171 channel-length modulation, 182 charge sheet approximation, 179 chip, 1 drain, 169 drain characteristic, 180 flat-band, 174 gate characteristic, 171, 180 gate stack, 233 high-power, 1 inversion, 173 moderate, 177 onset of, 175 strong, 177 weak, 177 long-channel behaviour, 190 model Level 1, 185 Level 49, 188 square-law, 185 surface potential, 176 multiple gate, 241 ON-current, 172 saturation current, 184 saturation voltage, 184 short-channel effect, 182 source, 169 sub-threshold region, 172 sub-threshold slope, 243 substrate, 170 surface of, 171 surface potential, 171 threshold voltage, 172 P-FET, 225 quantum-mechanical effect, 239 short-channel effect, 237 transfer characteristic, 171 nanotransistors, 2, 310 noise 1/f, 302 corner frequency, 304 correlated, 304 flicker, 302 induced gate, 303 shot, 301 spectral power density, 300 thermal (Johnson), 300 noise factor, 306 noise figure, 306 minimum, 307 non-quasi-static, 252 ohmic contact, 95, 122 ON resistance IGBT, 295 L-DMOSFET, 292 overdrive voltage, 228 passivated, 125 Pauli’s Exclusion Principle, 12, 51, 52, 55 phase space, 64 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 334 Index phonons, 30 acoustic, 30 longitudinal modes, 30 optic, 30 polar scattering, 50 tranverse modes, 30 photometry, 146 chromaticity coordinates, 148 chromaticity diagram, 148 colour gamut, 148 colour rendering index, 149 colour-matching functions, 147 eye sensitivity function, 146 luminous efficacy, 146 luminous efficiency, 147 photovoltaic conversion efficiency, 129 the effect, 116 photovoltaics building-integrated, 134 sustainability, 2 pinch-off HJFET, 208 MESFET, 200 MOSFET, 182 pinch-off voltage, 201 pn-junction abrupt, 91 forward bias, 99 ideal diode, 106 I-V, 107 saturation current, 107 reverse bias, 99 turn-on voltage, 161 potential well finite, 205 in a LED, 140 infinite, 203 Poynting vector, 118 primitive cell, 4 Wigner-Seitz, 4 punch-through, 295 quantum capacitance, 322 quantum state, 12 quasi-Fermi energy, 76 quasi-Fermi level, 91, 103 splitting, 111 quasi-Fermi potential, 104 quasi-neutrality, 100 region of, 101 transit time and, 211 radiometry, 146 real-space lattice, 13 reciprocal lattice k-space, 15 numbers, 12 phase space, 13 recombination, 37 Auger, 40, 281 defects, 39 minority carrier lifetime, 42 R-G, 39 radiative, 38 radiative efficiency, 143 SRH, 39 surface velocity, 121 traps, 40 Relaxation Time approximation, 89 resistivity, 74 RESURF effect, 294 reverse feedback parameter s 32 , 268 conductance, 254 saturation velocity, 73, 281 scaling, 227 scattering, 50 carrier-carrier, 50 deformation potential, 50 inter-valley, 72 ionized-impurity, 50 screened, 50 piezoelectric scattering, 50 polar optic phonon, 50 Schottky barrier, 195 height, 198 nanoFET, 311 Schr¨ odinger Wave Equation, 6 the effective mass, 24 semiconductor, 13 extrinsic, 36 intrinsic, 32 short-channel effect, 237 charge sharing, 237 DIBL, 237 effective threshold voltage, 238 signal delay time, 259 silicon-on-insulator, 240 dynamic threshold-voltage effect, 241 solar cell conversion efficiency, 129 dark current, 126 superposition, 126 fill-factor, 128 multicrystalline, 130 open-circuit voltage, 127 photocurrent density, 124 short-circuit current, 128 Si, 2, 129 tandem, 2, 131 thin-film, 2, 131 P1: Trim: 174mm 247mm Top: 0.498in Gutter: 0.664in pulfrey_cup cuuk824/Pulfrey 978 0 521 51460 6 December 25, 2009 21:51 Index 335 source extensions, 238 space-charge region, 93 spin-orbit coupling, 16 band splitting, 16 square-law model, 185 stability inherently stable, 269 maximum stable gain, 269 strain, 229 stress, 229 liner, 231 stressor, 231 sub-threshold current, 189, 243 inverse slope, 243 surface recombination velocity, 121 sustainability LEDs, 149 photovoltaics, 2, 134 temperature electron, 68 lattice, 68 thermal voltage, 94 threshold voltage dynamic effect, 241 flash memory, 275 HJFET, 207 MOSFET, 172, 183, 238 transcapacitance, 214 transconductance, 254 transfer characteristic HBT, 164 MOSFET, 171 transit time, 211 transport ballistic, 63, 311 dissipative, 63 non-local, 289 stationary, 73 tunneling, 82 tunneling, 82 JWKB Approximation, 85 transmission probability, 84, 318 2-DEG, 202 vacuum level force-free, 91 local, 93 valence band, 12 velocity overshoot, 73, 288 wave packet, 17 wavefunction, 6 Webster effect, 287 white light, 147 additive colour mixing, 148 wavelength conversion, 148 work function, 173, 195 Zener breakdown, 243 This page intentionally left blank Understanding Modern Transistors and Diodes Written in a concise, easy-to-read style, this text for senior undergraduate and graduate courses covers all key topics thoroughly. It is also a useful self-study guide for practising engineers who need a complete, up-to-date review of the subject. Key features: r Rigorous theoretical treatment combined with practical detail r A theoretical framework built up systematically from the Schr¨ dinger Wave Equation o and the Boltzmann Transport Equation r Covers MOSFETS, HBTs, HJFETS, solar cells and LEDs. r Uses the PSP model for MOSFETS r Describes the operation of modern, high-performance transistors and diodes r Evaluates the suitability of various transistor types and diodes for specific modern applications r Examines solar cells and LEDs for their potential impact on energy generation and reduction r Includes a chapter on nanotransistors to prepare students and professionals for the future r Rigorous treatment of device capacitance r Provides results of detailed numerical simulations to compare with analytical solutions r End-of-chapter exercises to aid understanding r Online availability of sets of lecture slides for undergraduate and graduate courses David L. Pulfrey is a Professor in the Department of Electrical and Computer Engineering at the University of British Columbia, Canada, where he has been since receiving his Ph.D. in 1968 from the University of Manchester, UK. He has won teaching awards at the university-, provincial- and international-levels. Most recently he won the 2009 IEEE Electron Devices Society Education Award “for contributions to the teaching of electron devices at both the undergraduate and graduate levels”. He has received recognition for his research work on a wide range of semiconductor devices by being elected Fellow of the IEEE in 2000, and Fellow of the Canadian Academy of Engineering in 2003. Understanding Modern Transistors and Diodes DAVID L. PULFREY Department of Electrical and Computer Engineering University of British Columbia Vancouver, BC V6T1Z4 Canada CAMBRIDGE UNIVERSITY PRESS Cambridge, New York, Melbourne, Madrid, Cape Town, Singapore, São Paulo, Delhi, Dubai, Tokyo Cambridge University Press The Edinburgh Building, Cambridge CB2 8RU, UK Published in the United States of America by Cambridge University Press, New York www.cambridge.org Information on this title: www.cambridge.org/9780521514606 © Cambridge University Press 2010 This publication is in copyright. Subject to statutory exception and to the provision of relevant collective licensing agreements, no reproduction of any part may take place without the written permission of Cambridge University Press. First published in print format 2010 ISBN-13 978-0-521-51460-6 Hardback Cambridge University Press has no responsibility for the persistence or accuracy of urls for external or third-party internet websites referred to in this publication, and does not guarantee that any content on such websites is, or will remain, accurate or appropriate. To Eileen . 3 2.1 2.2 Optical generation 3.4 2.11 Effective-mass Schr¨ dinger equation o 2.12 Energy-band diagram 2.3 Electrical generation 3.1 Thermal generation 3.7 2.3 Parabolic-band approximation 2.1 Creation of electrons and holes 3.1.9.9.10 Constant-energy surfaces 2.1.1.8 2.13 From microscopic to macroscopic Exercises References 3 Electron and hole concentrations 3.1 Negative effective mass 2.2 2.Contents Preface 1 2 Introduction Energy band basics Periodic structures Periodic potential Schr¨ dinger’s equation o Energy bands Reduced-zone plot Origin of the bandgaps Quantum states and material classification Band structure of real semiconductors Crystal momentum and effective mass 2.11.9 page xv 1 3 3 4 6 7 10 11 12 13 16 18 20 20 21 23 25 25 26 26 28 30 30 30 33 34 35 .4 Chemical generation 2.1.1 Boundary conditions for the effective-mass equation 2.9.6 2.5 2.2 Hole polarity 2. 5.7.2 Current and v R Exercises References 5 Charge transport 5.6 Ballistic transport 5.1 Probability density current 5.3 Auger recombination 3.5.2.1 Effective mass and v R 4.2 Recombination-generation-centre recombination 3.3 Thermal current 5.7. current and energy 5.2 Transmission probability 5.2 Diffusion current 5.3 Tunnel current Exercises References 4.5.2 Holes Exercises References 4 Thermal equilibrium Collisions The Fermi level Equilibrium carrier concentrations and the Fermi level Equations involving intrinsic properties Mean unidirectional velocity of an equilibrium distribution 4.2.3 Carrier concentrations 3.7.5.2 Recombination 3.1 Drift current 5.7 Tunnelling 5.1 Electrons 3.2 Conductivity effective mass 5.4.1 Charge.1 The Method of Moments 5.3 The device equation set 5.2 The continuity equations 5.5 37 38 39 40 41 43 46 46 46 47 48 49 49 51 53 56 57 60 60 61 62 63 63 64 65 65 69 71 73 74 75 76 77 79 80 81 81 83 85 87 90 .2.4 Mobility 5.4.1 Empirical expressions for mobility 5.4 4.1 Band-to-band recombination 3.2.2 The Boltzmann Transport Equation 5.4 Density-of-states effective masses in silicon 3.5 Current 5.4 Recombination lifetime 3.viii Contents 3.2.5.2.3 4.1 4.2 4.4.4. and Np-junction basics 6.Contents ix 6 np.3 Reverse bias 6.4 Quasi-Fermi levels 6.7 Np-junction electrostatics 6.2 Emitter photocurrent 7.2 Quasi-neutrality 6.1 Deviations from ideality in diodes 6.6.1 The built-in voltage 6.7.1.4.2 Constructing an equilibrium energy-band diagram 6.7.4 Space-charge-layer photocurrent 7.4.5 Total photocurrent 7.3 np-junction under bias 6.3.3 Potential profile 6.3 7.4.4.1 np-junction at equilibrium 6.1 Constructing a non-equilibrium energy-band diagram 6.7.8 Emitter injection efficiency Exercises References 91 91 93 94 95 96 98 100 101 102 102 105 106 108 109 110 110 111 113 114 115 116 116 118 119 120 121 122 123 123 124 126 128 131 131 132 133 135 136 7 Solar cells The Sun as an electrical resource Absorption Generation Photocurrent 7.5 Shockley’s Law of the Junction 6.1 Thin-film solar cells 7.6.3 Base photocurrent 7.6 The ideal-diode equation 6.5.1 Photovoltaic power 7.2 The Depletion Approximation 6.6 Non-silicon solar cells 7.2 Junction space-charge region 6.1.6.1 Surface recombination velocity 7.3 Quasi-Fermi-level splitting 6.2 Tandem-junction cells 7.1.2 7.7 Prospects for terrestrial photovoltaic power generation Exercises References 7.4 .3.1 Energy band offsets 6.1 7.4.3.5 Photovoltage 7. 2 Current efficiency 8.5 Wall-plug efficiency 8.4 DC equivalent-circuit model Exercises References 10 MOSFET basics 10.3 Base current 9.2.2 Electrostatics 10.1 Transfer characteristic 10.8 Prospects for general-purpose solid-state lighting Exercises References 138 138 140 141 142 143 146 146 147 149 151 152 153 154 156 161 162 163 164 166 168 169 169 173 173 175 176 176 179 182 182 182 183 185 185 187 189 190 9 HBT basics 9.2 Drain current for constant mobility 10.6 Applying the long-channel models . source-referenced model 10.2 Drain current 10.2.4 MOSFET I-V characteristics from the strong-inversion.1 Voltage efficiency 8.3 MOSFET I-V characteristics from the surface-potential model 10.4 Extraction efficiency 8.1 Heterojunction diodes 8.x Contents 8 Light-emitting diodes 8.1 Recombination in the base 9.1 MOS capacitor 10.4 Threshold voltage.2 Hole injection into the emitter 9.2 MOSFET 10.4.1 Surface potential 10.6 Luminous efficacy and efficiency 8.5 I D when mobility is field-dependent 10. body-effect coefficient and channel charge density 10.1 Basic assumptions of the model 10.4.7 White-light LEDs 8.1 Basic properties 9.4.3.4.3.2.3.2 Collector current 9.3 Pinch-off and channel-length modulation 10.3.3.5 Sub-threshold current 10.4.3 Radiative recombination efficiency 8.3 Comparison of the surface-potential and SPICE models 10. Contents xi 10.2 Extrinsic MOSFET capacitances 12.7 Threshold voltage: the short-channel effect 191 192 193 195 195 198 199 199 200 202 203 205 206 207 208 208 209 210 210 213 213 217 217 218 219 220 220 222 222 224 225 225 225 227 229 232 233 234 235 .2 The finite well 11.2.1.1.4 Controlling the channel charge by the gate potential 11.2.3.1.3.6 Gate leakage current 13.3.3.1.1.3 Electron concentration in the 2-DEG 11.1 Intrinsic MOSFET capacitances 12.2 Drain current 11.3 HBT capacitance 12.3.5 Collector-base junction capacitance Exercises References 13 Transistors for high-speed logic 13.3 Channel mobility and strain 13.4 Base-emitter transit capacitance 12.5 Metal gates and poly-silicon capacitance 13.1 Emitter-base junction capacitance 12.3.1 Schottky barrier 11.1 Channel formation and threshold voltage 11.1 Thermionic emission and tunnelling 11.1 General features of CMOS 13.3.1.3 Emitter storage capacitance 12.5 The drain I-V characteristic Exercises References 12 Transistor capacitances 12.1 Defining capacitance 12.3.4 Oxide capacitance and high-k dielectrics 13.3 HEMT 11.3.2 The ON-current 13.2 MESFET 11.7 DC equivalent-circuit model Exercises References 11 HJFET basics 11.2.1 Si CMOS 13.1.1.3.1 The 2-DEG 11.2 MOSFET capacitance 12.2 Base storage capacitance 12.2. 3.1.1.2 Lateral insulated-gate bipolar transistor Exercises References 14.2 Dynamic Random Access Memory Exercises References 16 Transistors for high power 16.1 Large-signal equivalent-circuit model Exercises References 14 Transistors for high frequencies Quasi-static analysis The generic small-signal model Hybrid-π small-signal model for HBTs f T : the extrapolated unity-current-gain frequency 14.4 Transistors for high-voltage power supplies 16. oscillation and stability Exercises References 15 Transistors for memories 15.1 Flash memory 15.9 Silicon-on Insulator FET 13.5 Designing an HBT for high f T 14.4.1.11 Large-signal equivalent-circuit model 13.2 GaN HJFETs 16.2 f max 14.3 Transistors for power amplifiers 16.1 14.2 14.1 Avalanche breakdown 16.1 SiGe HBT 14.7.6.5.4.2 Emitter-coupled logic 13.1.xii Contents 13.6 f max : the extrapolated unity-power-gain frequency 14.1 An expression for f T 14.1 GaAs HBTs 16.10 Power dissipation 13.1 f T 14.2.4.3.3 14.8 Threshold voltage: a quantum-mechanical effect 13.2 The Kirk Effect 16.7 f T and f max for FETs 14.8 Power gain.1 Si L-DMOSFETs 16.4 239 240 242 245 246 247 248 250 251 251 253 255 256 257 259 260 262 264 266 267 268 268 269 271 273 273 277 280 280 281 281 284 284 285 289 292 293 294 296 397 .7.1 Base-spreading resistance 14. 1.1 Associated gain Exercises References 299 299 300 300 301 302 303 304 304 306 307 309 309 310 311 311 312 313 314 316 316 316 318 319 321 321 322 323 324 326 327 327 327 329 330 18 Transistors for the future 18.1.2.1 Noise: general properties 17.2 Ambipolarity Exercises References 19 Appendices 19.5 Novel features of carbon nanotube FETs 18.4.3 Master set of equations for 1-D simulations 18.1 Appendix A: Physical constants 19.2 Shot noise 17.Contents xiii 17 Transistors for low noise 17.5.2 Appendix B: Selected material properties 19.4 Comparison of 1-D and 2-D currents 18.3 Representation of noise in an equivalent circuit 17.3 Mean.2.1 Thermal noise 17.4 Induced gate noise 17.1 Density of states 18.3 Appendix C: N-MOSFET parameters Index .1 Quantum capacitance and transconductance 18.4.1 Energy dissipation in ballistic transistors 18.2 Carrier density 18.1 Dimensions for current density 18.2 1-D ballistic transport 18.2 Noise inherent to transistors 17.2.1.2.5.2.3 Evaluating the charge 18.5 Adding-up the noise 17.2. unidirectional velocity of a 1-D equilibrium distribution 18.2.4 Noise figure 17.2 Local density of states 18.3 Flicker noise 17.2.1 1-D carrier basics 18. . . often with similar objectives. secondly. Introduction to Microelectronic Devices. we are at a particularly interesting point in the development of the subject – we are at the micro/nano boundary for high-performance transistors. Prentice-Hall. Sometimes I feel as though the trends in semiconductor devices are creating 1 D. To design and analyse such circuits. and are quite different from those of 20 years ago when I was last moved to write a book on semiconductor devices. how device engineers and physicists can address sustainability issues in their domain. 1989. after a further 20 years of teaching and researching in the area of solidstate devices. low-noise) by heterostructural devices based on compound semiconductors. Pulfrey and N.L. as used in increasingly dense integrated circuits and memories. and the reduction of electricity usage for lighting via high-brightness light-emitting diodes. Tarr. There are many books on semiconductor devices. To address this deficiency I co-authored a book with Garry Tarr in 1989 that specifically linked circuit-model parameters to the physical properties of transistors and diodes. I have a strong personal viewpoint on how the subject can best be presented to students. (iii) to leave readers with a sense of confidence that they are well equipped to appreciate the workings of tomorrow’s devices. I find myself lecturing on.Preface Understanding Modern Transistors and Diodes is a textbook on semiconductor devices with three objectives: (i) to provide a rigorous. the transistors were represented by a set of model parameters. and to participate in their development. high-power. These circumstances are new. (ii) to apply this theory to contemporary transistors and diodes so that their design and operation can be thoroughly understood. At that time the major development was the incorporation of thousands of transistors into monolithic integrated circuits. account of the theoretical basis of the subject of semiconductor devices. the displacement of the silicon bipolar transistor from its traditional areas of strength (high-frequency. particularly the generation of electricity from a renewable source via more cost-effective solar cells. yet readable.G. and we are on the threshold of seeing optoelectronic diodes make a contribution to our planet’s sustainability. One could use these parameters to design a circuit without understanding how they related to the physical properties of the actual transistors comprising the circuit. after teaching and researching in the area for 40 years.1 Today. and needing to know more about: the effect of miniaturization on the performance of silicon field-effect transistors. and it is reasonable to ask: why write another one? The answer is two-fold: firstly. because those computers can now permit the more rigorous surface-potential model to be used for the more accurate simulation of integrated circuits! Understanding Modern Transistors and Diodes is intended for students at the graduate or senior-undergraduate level who are studying electronics. Additionally. in various stages of development. where justifiable. when being applied to various devices. and seen to be approximated. for short courses to engineers at PMC-Sierra in Vancouver. while managing to limit the material to that which can be covered in one or two one-term courses. and a glimpse into the future of true nanotransistors. It is my hope that the depth and breadth of this book might make it a ‘one-stop shop’ for several levels of courses on semiconductor devices. provides the basis for our rigorous and formal description of capacitance. the charge-density continuity equation. engineering physics or physics. the inclusion in the book of specific chapters on the application of the foundation material to modern. and to graduate students at the University of Pisa and at the Technical . but must be justified after a proper treatment of its parent. However. as a computationally expedient approximation to the ‘surface-potential’ model. If SPICE has helped design circuits that have enabled higher performance computers.e. The physics has to be quantum mechanical for several reasons: band structure is important for all the devices we discuss. This is how Understanding Modern Transistors and Diodes meets the challenge of covering a wide breadth of topics in the depth they warrant. and for device-practitioner neophytes and veterans alike. i. The solution to this dilemma comes back to the first objective of this book: provide a rigorous and digestible theoretical basis. high-performance transistors and diodes. the Boltzmann Transport Equation. electron-photon interactions are obviously relevant in solar cells and light-emitting diodes. The material in this book. there is sufficient material on basic semiconductor theory and elementary device physics for the book to be appropriate also for a junior-level course on solid-state electronic devices. One intermediate solution to this equation. should make the book of interest to practitioners and managers in the semiconductor industry. the traditional SPICE-related model for the MOS field-effect transistor is put in its rightful place. and of the near future. As a final emphasis on the rigour of this book. particularly those who have not had the opportunity to keep up with recent developments in the field. particularly for heterostructural diodes and transistors of both field-effect and bipolar varieties. The requisite physics is treated properly once and is then approximated. then that has been its downfall. rather than dissipative. microelectronics or nanoelectronics.. within the disciplines of electrical and computer engineering. Even in ‘classical’ devices transport must be treated rigorously in view of the trends towards miniaturization: the Drift-Diffusion Equation cannot be blindly applied. has been used by me for senior-level undergraduate courses and for graduate-level courses on semiconductor devices at UBC.xvi Preface an impossible situation: the need for greater depth of knowledge in a wider variety of devices. future one-dimensional transistors may be so short that ballistic. This device property is crucially important to the transistors presented in the application-specific chapters in the book on digital switching. follows naturally. from which the understanding of devices of the modern era. high-frequency performance and semiconductor memories. tunnelling is an important leakage-current mechanism in field-effect transistors. transport will be operative. I thank all those students of these courses who have commented on the material and have sought to improve it. and I am no exception. Austin) for sharing his thoughts on where Si CMOS is heading. with whom I have worked collegially. Gary Tarr (Carleton University) for commenting on the solar cell chapter. and never benefited from a course on semiconductor devices. At Cambridge University Press. and for his encouragement. and university laboratories around the world. If it does. high-performance CMOS devices and his arrangement of the procurement of the cover photograph from AMD’s Dresden laboratory. and as a visiting research engineer at various industry. One of the great pleasures of writing this book has been to call on some of them. both as a professor at the University of British Columbia. David John (NXP. and sharing the work ‘in the trenches’ as much as possible. As an undergraduate I focused on ‘heavy-current electrical engineering’. Denis (Triquint. Particularly. Most ‘part-time’ authors of technical books comment on the interruptions to their family life that writing a textbook entails. I owe a great debt of gratitude to my graduate students. Munich) for helpful details on DRAMs. I wish to thank: Alvin Loke (AMD. I also wish to thank Ivan Pesic of Silvaco Data Systems for making a copy of his company’s excellent simulation software. I particularly want to mention Lawrence Young. and Sarah Matthews. I am basically ‘self-taught’ in the area. to make sure that the material in some of the device-specific chapters in the book is truly modern. The writing of it has been sustained by the encouragement. I hope they will think that this book has been worth it. Eileen. Portland) for provision of material on high-frequency and low-noise heterojunction field-effect transistors. support and understanding of my wife. then thanks are due to many people who have enlightened me over my 40 years of working in the subject area. and I think that this has attuned me particularly well to the nature of the difficulties many students face in trying to master this profound subject. Hopefully this book circumvents most of these obstacles to the understanding of how semiconductor devices work. England. Tony St. My children. and thereby started my transformation to a ‘light-current electrical engineer’. learning with them. Caroline Brown and Richard Marston for their assistance in bringing it to fruition. Mani Vaidyanathan (University of Alberta) for his insights into high-frequency devices. Shawn Searles (AMD. to whom I give my deepest thanks.Preface xvii University of Vienna. their spouses and my grandchildren are my friends. government. I thank Julie Lancashire for her encouragement of this project. Leonardo Castro (Qimonda. Eindhoven) for useful information on silicon power transistors. and on some former undergraduates too. who hired me as a postdoc in 1968. and for alerting me to Philips’ version of the MOSFET surface-potential model. David Pulfrey Vancouver . available to me during 2008. his insights into the finer points of modern. Colorado) for his enthusiastic support. and I am conscious of the time I have missed spending with them. Atlas. . When you are reading this book. at high output power.5 So. with low noise. Somewhere in the communications chain there’s probably a low-noise amplifier to receive tiny signals and not add undue noise to them.1 each about a thousand times smaller than the diameter of a human hair! The slender computer that you nonchalantly stuff into your backpack has more computing power than the vacuum-tube computers that occupied an entire room when I was a student over 40 years ago. High Electron Mobility Transistors. 1 2 3 4 5 Metal-Oxide-Semiconductor Field-Effect Transistors. and they can deliver the power necessary for the transmission of signals.2 These transistors can operate at the high frequencies required for local-area-network telecommunications.000.000 Si MOSFETs. at high frequencies.1 Introduction It is highly probable that you will use a laptop computer when doing the exercises in this book. The immense storage requirements of these applications are met by Flash memory. then the base station will employ high-power transistors. All the different transistors mentioned above are described in this book. GaAs HBTs are good for this. and are grouped according to their ability to perform: in high-speed digital logic. hand-sets of not so long ago: it is also a camera and a juke box. in which case you may wonder how signals from around the globe find their way into your machine. you’d find some GaAs HBTs. That may get you wondering what’s inside your sleek ‘mobile’. or maybe GaN HJFETs. without straying very far from where you are sitting as you read this. in semiconductor memory. Personal Digital Assistant. you may be interested to know that the central processing unit of your computer resides in a thin sliver of silicon.3 and probably also allows internet access. comprising more millions of Si MOSFETs. tethered. Your cell ’phone is really a PDA. If so. you may be distracted by an incoming call on your cell ’phone. but even better are InP HEMTs. Of course. about 1 square centimetre in area. Heterojunction Field-Effect Transistors. This small chip contains over 100.4 If satellites are involved. you have tangible evidence of the dramatic influence electronics has on the way many of us conduct our business and recreation. If you opened it up. Heterojunction Bipolar Transistors. a cell ’phone nowadays is no longer just a replacement for those clunking. possibly lateral-diffused Si MOSFETs. . and knew where to look. and at both thin-film cells and tandem cells for possible implementation in the future. our electronics-oriented activities would not be possible if the supply of electricity were curtailed. or by the threat to our habitable environment that the extraction and use of them entails. either by the exhaustion of the Earth’s store of fossil fuels. and renewable. and to design better devices yourself. as this branch of physics is needed increasingly to understand transistors as they move from the micro. We describe how high-brightness LEDs work. is an attractive proposition.to the nano-realm. LEDs6 using diodes made from compound semiconductors are beginning to make an impact in this area. to understand interactions between electrons and holes and photons in optoelectronic diodes. The book ends with a brief look at cylindrical nanotransistors.2 1 Introduction Of course. and also. This could happen. To understand the operation of all these transistors and diodes. The emphasis is on Quantum Mechanics. the future development of which may perhaps involve you? Enjoy the book! 6 Light-Emitting Diodes. You may know that about 20% of the world’s energy consumption goes into producing light. The first part of this book is devoted to this. of course. then you probably wouldn’t need to heat your study in winter! Again. some alternative is needed. and to provide the knowledge base that will enable you to understand new devices as they appear. a solid. We look at traditional Si cells. Alternate. Glance up at the incandescent light bulb that is illuminating your room: it’s so inefficient that if you had a few of them in use. using semiconductor diodes as solar cells. How solar cells work is described in this book. forms of electrical energy generation are desirable. and look at ways of producing white light. photovoltaics. physical understanding of semiconductors must be attained. . thesis of 1924. 2. Ga and As.D. Our initial goal is to develop the concept of energy bands. and may do wave-like things. Ge. InP. Each atom occupies a site on a face-centred cubic lattice. This is what we do in this chapter. 2. GaAs. Electrons and atoms are microscopic objects. rather than a classical. so when we need to consider them both together we must take a quantum-mechanical.1. the structure is called diamond. for example. Macroscopic objects of our everyday experience have extremely short wavelengths. face-centred cubic lattices.g. energy band diagrams. in his Ph. The bonding of atoms in these structures is tetragonal.1 Periodic structures Crystalline structures are based on a matrix of points called a Bravais lattice. which are shown as any neighbouring pair of shaded and unshaded atoms in Fig. Instead of trying to deal with the countless numbers of atoms that comprise an actual piece of crystalline material. such as diffract around other microscopic objects. postulated that every object that has momentum p also has a wavelength λ: h . with a point mass and an observable trajectory. When the two atoms are different. as in the elemental semiconductors Si and Ge. it is often convenient to capture the structural essence of a . p= 2. When the two atoms are the same.1. We then seek to provide an understanding of related concepts that are used throughout this book: electron states. comprises two atoms.2 Energy band basics Louis de Broglie. interpenetrating.. as shown by the linkages in Fig. representing ranges of permissible energies for electrons within a solid. (2. holes. These objectives are most directly arrived at from a consideration of the periodic nature of the potential through which the electrons would move in a perfectly crystalline material. The basis for Si. the Bravais lattice is the face-centred cubic lattice. band structure. so they are invariably viewed as particles. Contrarily. crystal momentum. For the Group IV semiconductors and most of the III-V semiconductors that are considered in this book. approach. the structure is referred to as sphalerite or zinc blende. e. so the actual structure comprises two. microscopic objects can have much longer wavelengths.1) λ where h is Planck’s constant. To this underlying structure are added the actual atoms that constitute the basis of a particular material. effective mass. 1985. and for the face-centred cubic lattice the Wigner-Seitz cell is a rhombic dodecahedron [2. For a real 3-D crystal the lines between nearest-neighbour atoms are bisected by planes.3a). Adapted from Sze [1]. Thus. from which. The primitive unit cell in this case is a hexagon. this particular crystal structure has a basis of two. Inc. by appropriate rotations and translations. There is no unique primitive unit cell for a given Bravais lattice. and one of them is shown by the dashed lines in Fig. Therefore. There are two. 2. Don’t be alarmed that the last profile might not be very realistic: . we consider a ‘toy’ structure comprising a one-dimensional array of primitive cells. we reduce the potential-energy profile to the delta-function representation shown in Fig.2 Periodic potential To illustrate the relationship between energy and momentum in a crystalline material. with each cell having a basis of unity. 2.1.3d. The corresponding points in each FCC lattice are displaced by a (x + y + z ).8b]. C John Wiley & Sons. containing precisely one lattice point. reproduced with permission. This is a volume. the lattice constant. simply. crystal in its primitive unit cell. Another primitive unit cell is the Wigner-Seitz primitive cell. 2.1 The diamond and sphalerite crystal structure. is the length of the side of the cube. 2. The potential energy of a single electron due to Coulombic interaction with the ion cores of the monovalent atoms is shown in Fig.4 2 Energy band basics z a y x Figure 2. 1. Fig. we are not interested here in the precise form of the potential energy: we are only concerned with its periodicity. interpenetrating. 2. the space of the Bravais lattice can be exactly filled.3b. 4 where a. the construction of which is illustrated in Fig. However. and the atom being monovalent (see Fig. which also contains one of the shaded atoms from an identical matrix of atoms.2 for a simple face-centred rectangular matrix of unshaded atoms. or. primitive cell. 2. face-centred cubic (FCC) lattices. one comprising the shaded atoms and the other comprising the ˆ ˆ ˆ unshaded atoms. thus. therefore.g. These lines connect the perpendicular bisectors of the lines joining one unshaded atom to each neighbouring unshaded atom.2. the different spacing between atoms in different directions of the real (3-D) crystal. The important fact is that any periodic potential leads to the revelation of energy bands. even Fig. such as GaAs. (c) 1-D square well representation of (b).2 Periodic potential 5 Figure 2. e. and. will do . as it omits effects such as: the potential energy of an electron due to the proximity of other electrons.3 (a) 1-D periodic array of primitive cells. Solid lines are the total potential energy. (b) 1-D Coulombic potential energy for an electron in the 1-D array. Dashed lines are the potential energies due to a single ion core. and the possible presence of dissimilar elements in the crystal. 2. (d) 1-D delta-function representation of (c). One atom from the shaded array falls within the primitive unit cell.3b is inaccurate. as in compound semiconductors. x (a) Potential energy of electron + + + (b) (c) (d) −a 0 a 2a x Figure 2. each cell containing one monovalent atom. this crystal structure has a basis of two atoms.2 Example of a 2-D crystal comprising simple face-centred rectangular arrays of unshaded and shaded atoms.. The Wigner-Seitz primitive unit cell is shown by the solid lines. 3) where m 0 is the electron rest mass. which o was originally postulated in 1925 to provide a formal description of the experimentally observed.3d. in the intervening 80+ years. . 2. Thus.1 The profile in Fig. in the 1-D case. This equation follows from the full. −∞ |ψ(x)|2 d x = 1. − d 2 ψ(x) + U (x)ψ(x) = Eψ(x) . Often. Niels Bohr’s statistical interpretation of the wavefunction is particularly helpful in ∗ d x ≡ | (x.3d. region through a thin potential barrier.6 2 Energy band basics for our present purpose. i. time-dependent Schr¨ dinger Wave Equation. The property of the delta function that is relevant here is: δ(y) = 0 if y = 0.e.. it can be seen that the first term in (2. in one dimension. can be expressed as N −1 U (x) = β l=0 δ(x − la) . which describes the o full wavefunction.4 If the electron is somewhere in x +∞ +∞ (1-D case). where f (t) = exp(−i Et/ ) and E = ω. The form of the equation of interest to us here is the time-independent Schr¨ dinger Wave o Equation. Equivalently. the first two terms are grouped together and described as the Hamiltonian of the system Hψ = Eψ . t) and ψ(x) enable us to compute the probability of finding an electron 1 2 3 4 If you insist on giving some physical significance to the potential profile in Fig. t). and then always multiply by f (t) to get the full time dependence if we need it. (x. comprising N delta-function potential barriers spaced a apart. (2. then it follows that −∞ | (x. You can have confidence in the equation because. The appropriate equation is the Schr¨ dinger Wave Equation. In all our work we will take the potential energy to be independent of time.4) where the Hamiltonian H operates on the wavefunction to describe the total energy of the system. t)|2 d x = 1. (2. ψ(x) is the position-dependent part of the electron wavefunction (x. t) = ψ(x) f (t).3 ¨ Schrodinger’s equation When considering the fine details of an electron’s motion in a solid. identical. and δ(y) = ∞ if y = 0. The superscript * denotes the complex conjugate. we need to consider its wave-like nature. discrete frequencies of light emission from an excited hydrogen atom. 2m 0 d x 2 2 (2.3) for ψ(x). 2. Thus. for which solutions are simply: (x. t). t)|2 d x is the probability of getting a feeling for what really is: finding the electron between x and (x + d x) at time t. This allows the full equation to be solved by the method of Separation of Variables. we can solve (2.3 Thinking in terms of conservation of energy.. but having some probability of tunnelling to a neighbouring. then you may wish to view the electron as being largely confined to the vicinity of an atom. no experiments have been reported that give results contrary to the predictions of the equation.e.2) where δ(x) is the Dirac delta function and β is some constant. i.3) must relate to kinetic energy.2 2. (x. U is the potential energy and E is the total energy. closely following the treatment of Griffiths [3]. 5 (2.8). we use it to develop an understanding of energy bands. given by (2. then the kinetic-energy term in (2. is a plane wave modulated by a function that has the periodicity of the lattice.8) where u k (x) has the periodicity of the lattice. (0 < x < a) .4 Energy bands Consider the periodic delta-function potential in Fig. Therefore.3) → ∞. Note that if u is not periodic but is a constant. except when the potential energy goes to infinity. Here. This is not an inadequacy of the theory. it is a description of how Nature appears to work at the level of very tiny entities. (2. r dψ/d x must be continuous at a boundary. the boundary o condition for the derivative of ψ must also include what we shall call the effective mass.5 In our problem we have lots of boundaries. from (2.6) A and B are constants that need to be evaluated by considering the boundary conditions. The general rules are: r ψ must be continuous at a boundary. 2. we can reach a solution quite easily by appealing to Bloch’s Theorem.3d. if this property changes across the boundary (see Section 2.9) If there is a discontinuity in dψ/d x.3) d 2ψ + g 2 ψ(x) = 0 .2. dx2 where g= The general solution is ψ(x) = A sin(gx) + B cos(gx). 2. . Fortunately. then the Bloch wave becomes a plane wave. In the region 0 < x < a the potential energy is zero. When we resort to the ‘Effective-mass Schr¨ dinger Wave Equation’. namely ψk (x + a) = eika ψk (x) . but the equation is still satisfied if U → ∞.5) .7) √ 2m 0 E (2. because of the periodic nature of the potential. so.11). (2. This is how quantum mechanics works: it deals in probabilities. a Bloch wave. which states that for a periodic potential U (x + a) = U (x). An alternative way of stating Bloch’s Theorem follows from (2. and the subscript k indicates that u(x) has different functional forms for different values of the Bloch wavenumber k.8). the solutions to Schr¨ dinger’s equation satisfy o ψk (x) = u k (x)eikx .4 Energy bands 7 somewhere in space at some time. (2. and at each one U → ∞. (2. which comes from (2. The integral of the o d 2 ψ/d x 2 term is precisely the discontinuity we seek. (2. It is equal to the integrals over the Eψ and U ψ terms.15) Thus. if N . (2. 2. we can impose complete periodicity by bending the array into a circle so that x = −a follows x = (N − 2)a in Fig.e.10) where n is an integer.2). The periodicity breaks down at the edges of the crystal. i. (−a < x < 0) . (2.14) Because of the delta function.8 2 Energy band basics Note that this equation does not state that ψk (x) is periodic. Matching the wavefunctions at x = 0 gives B = e−ika [ A sin(ga) + B cos(ga)] . integrate Schr¨ dinger’s equation over a tiny interval spanning x = 0.16) 6 To obtain this. Mathematically. but that shouldn’t have a significant effect on the electrons deep within the crystal if the array is very long compared to the separation between atoms. yields eik N a ψk (x) = ψk (x) . ±2. (2. We then have a convenient. so integrating over an infinitesimal interval gives zero. but because U = ∞ at x = 0. the derivative of ψ is not continuous at x = 0. ±3.. .12) (2.3d: ψk (x) = e−ika [ A sin g(x + a) + B cos g(x + a)]. The latter is comforting because one would expect an electron to have an equal probability of being at any of the identical sites in the linear array. The same would usually be true for the U ψ term. but it does lead to |ψk (x)|2 being periodic. the discontinuity is ( 2m 0 β dψ ψ(0) . · · ·) . where we have used another property of the delta function: −∞ δ(x) d x = 1. the number of primitive cells. For U (x) = βδ(x).6 )= 2 dx (2. Na (n = 0. it follows from the derivatives of ψ at x = 0 that g A − e−ika g[ A cos(ga) − B sin(ga)] = 2m 0 β 2 B.3d. the integral is finite and ∞ equals βψ(0). so-called periodic boundary condition: ψk (x + N a) = ψk (x) . from which it is clear that k= 2π n .13) Now that we have expressions for the wavefunctions in adjoining regions we can use the matching conditions for ψ and dψ/d x to evaluate or eliminate the constants A and B.9) can now be used to obtain the wavefunction in the region −a < x < 0 of Fig. 2. so we need to find the discontinuity in order to get another expression linking A and B. is very large. ±1.11) (2. In the former term E is a constant and ψ is finite. Using this in (2.9). 1). For the specific case of a Bloch wavevector. g could be extended indefinitely. the number of primitive calls.4. and k = 2π/λ is the general relationship between wavelength and wavevector.e. and g is a function of the energy E from (2. those within the 2 dashed lines.17) This key equation unlocks the secret of bands: the right-hand side is a function of ga. This is because.16). An E-k plot is often interpreted as an energy-momentum relationship. etc.5 is known as an extendedzone plot. From (2. the second zone is split into two: −2π/a < k < −π/a and π/a < k < 2π/a. This is illustrated by the plot of (2. from (2.17) in Fig. each one of which is called an energy band. it is seen that the corresponding range in n is N . where = h/2π is Dirac’s constant. momentum can be written as k. but the left-hand side decrees that f (ga) must be bounded by ±1. after some manipulation. showing the allowed values of ga. 2 ga (2. in reality. is so small that the E-k relation appears continuous within a band. there are an infinite number of ranges of allowed energy.2. 2. The crystal . i. k is called the crystal momentum. the separation of neighbouring k values (=2π/N a). Thus. but. Thus each zone extends over a range of 2π/a in k.12). As the latter number will be usually very large in semiconductor devices. are usually displayed on a plot of energy E versus Bloch wavevector k. The energy bands corresponding to the allowed values of ga. The version shown in Fig. and the forbidden regions (bandgaps) separating the bands.4 Plot of (2.. 2. values of E are only allowed when −1 ≤ f (ga) ≤ 1.14) and (2. The first zone spans the range −π/a < k < π/a.6). Note that this figure is arbitrarily truncated at g = 6π/a. an expression devoid of A and B results: cos(ka) = cos(ga) + m 0 βa sin(ga) . From (2. The forbidden values of ga lie in the areas outside the dashed lines.4 Energy bands 9 12 10 8 cos(ga) + 10sin(ga)/ga 6 4 2 0 −2 −4 −6 −4 −2 0 ga/p 2 4 6 m 0 βa Figure 2. thus.17) for = 10. 6)) for the first five allowed energy bands. consider the positive wavevectors in the 4th and 5th zones. (2. 3π/a < k < 5π/a.00π (see Fig. and their negatives. 2. For example. indicating the increasing ‘freedom’ of the higher energy electrons. a (2. momentum is not the actual mechanical momentum of the electron: it is the momentum of the electron due to the action of applied forces. are then used in (2. For example. The parabola shown by the dashed curve is the E-k relation for a free electron. as we show in Section 2. The corresponding ka range for the first band is −π < ka < π . 2.10 2 Energy band basics 25 20 E /(h /8m0a ) 2 2 15 10 5 0 −5 −4 −3 −2 −1 0 ka/p 1 2 3 4 5 Figure 2.e. write the wavevector as k= 4π +k .9.8) then becomes ψk (x) = u k (x)ei4π x/a eik x ≡ u k (x)eik x = ψk (x) .4 and Equation (2..5 ‘Extended-zone’ plot of energy (from Fig. This range of ga values.4). The Bloch wavefunction from (2.5 Reduced-zone plot An alternative way of displaying the E-k relationship is to compress all of its information into the first zone..83π to ga = 1. i.18) where the new wavevector k is constrained to −π/a ≤ k ≤ π/a. the first band of ga runs from ga = 0. i. Now. Note how the allowed bands become closer to this parabola as the energy increases.19) . This is achieved by horizontally shifting each of the curves from the higher order zones in the extended-zone plot by an appropriate multiple of 2π/a.6) to obtain the first allowed band of energies. to the first zone.e. 2. or often just the Brillouin zone.20) where a is the spacing between lattice sites and b = 1.6. 2. so they have been amalgamated into a new periodic function u k (x). which now contains all the bands. the shift in k of 4π/a takes the band of the 4th zone (positive k) to the range −π/a < k < 0.6)). for the case of N = 10.5 0 ka/p 0. 2. · · · is an integer. with translations of appropriate multiples of 2π/a.e.6 Reduced-zone plot of energy (from Fig. consider a beam of electrons of wavelength λ propagating through our 1-D lattice. 2.2. i. 2. bring all of the other bands into the first zone. In our example. Further Bragg reflections would lead to our beam bouncing around in the crystal. The ten crystal momentum states in each band are shown. The bands in the new scheme are completed by similar operations on the corresponding. 2a = bλ . negative-k portions of the 4th and 5th bands from the extended-zone plot. being reflected back and forth.6 Origin of the bandgaps We have seen how energy bandgaps arise from a mathematical treatment of a periodic structure. 3. The resulting plot is called a reduced-zone plot. In the reduced-zone plot k is properly called the reduced crystal momentum. The terms exp(i4π x/a) and u k (x) have the same period a. and the 5th band to 0 < k < π/a.. The two portions of the reflected beam would reinforce constructively if the Bragg condition for normal incidence were satisfied. is called the first Brillouin zone. For a physical explanation. and taking-on the property of a standing wave. rather than that of a . (2. Similar actions.6 Origin of the bandgaps 11 25 20 E/(h 2/8m0a 2) 15 10 5 0 −1 −0. The first zone. and imagine that there is scattering of the beam from two neighbouring lattice sites.4 and Equation (2. The changes to u k and to k are complementary in that they leave the wavefunction unchanged. an example of which is shown in Fig.5 1 Figure 2. and the lowest unfilled band at 0 K is called the conduction band. we need another number to distinguish between states with the same value of reduced wavevector. so that the total number of distinct n numbers in the reduced-zone scheme is precisely equal to N . where N is the number of primitive unit cells that form the real crystal lattice. n = ±N /2 in (2. the number of points in our lattice of primitive unit cells. there will be N valence electrons.12 2 Energy band basics propagating wave. therefore: 0. 2. The wavevectors at which this would occur are k=± bπ 1 2π =± ≡ ± Gb .12). In (2. At temperature T = 0 K these electrons will occupy the bottom half of the first band. |kmax | = π/a. open up at the Brillouin-zone boundaries because of the strong Bragg reflection. ±0. This is a manifestation of Pauli’s Exclusion Principle. bands will be either completely filled or completely empty if there is an even number of electrons in the primitive unit cell.17) for the corresponding ga.8. energy bandgaps. we have one quantum num2 ber (n) for the crystal momentum. The quantum number for electron spin is ± 1 and. to |n max | = N /2. For the case of a primitive cell containing a single atom that is monovalent. 2.2. Note that the end-values.4. and become vectors in 2-D and 3-D systems. This number is called the band index. λ a 2 (2. In the reduced-zone scheme. More generally. Thus.6). Each circle on the plot of Fig. and is designated E g . These are then used to solve (2.21). ±0. ±1. from which the allowed energies follow from (2. ±0. which observes that no two electrons can have the same quantum numbers.7 Quantum states and material classification The reduced-zone plot of Fig. providing that they have opposite spin. In fact. the quantum number defining the allowed values of k in (2.21) Thus. 2. The translation numbers used to obtain the reduced-zone plot from the extended-zone plot can now be seen to be reciprocal lattice numbers. are one and the same point. n designates a state of reduced crystal momentum that can be occupied by an electron. In Fig. Because we are considering a reduced-zone plot.6 corresponds to a particular value of n. The allowed values of ka/π (from (2. . 2. ±0. so n is restricted. from (2. the multiples are called reciprocal lattice numbers.12).6 has been drawn for the particular case of ten monovalent primitive unit cells (N = 10). but with different values of energy. The highest fully occupied band at 0 K is called the valence band.6. the entire first band would be occupied at 0 K. within which there are no propagating waves. the band index runs upwards from 1 to 5.0. If there were 2 valence electrons per primitive cell. Collectively. The energy gap between these bands is called the bandgap.6.12)) are. each band contains 2N states. where n is restricted to values between −N /2 and N /2. each reduced-crystal-momentum state can be occupied by two electrons.12). Thus. so far. G b = b2π/a is a set of multiples of 2π and the reciprocal of the lattice spacing a. and we have a semiconductor. the reciprocal lattice number can be envisaged as residing in reciprocal space. and there would be a current. For silicon. as shown in Fig. the primitive unit cell in real space becomes a volume. Now. for brevity.8 Band structure of real semiconductors 13 When a band is completely full. If an electric field were also applied. a filled state with crystal momentum + k is matched by a filled state with crystal momentum − k. but.7). As stated above. In 3-D. If the bandgap is not too large. the dominant semiconductor material.7 We have already alluded to the fact that crystal momentum is the electron momentum due to external forces. we should be talking about reduced crystal momentum.. and the primitive unit cell comprises 2 atoms. so it is reasonable to give them the same designation as is used for 7 Strictly speaking.1. i. Therefore. the atoms are arranged in the diamond lattice structure. 2. these directions are perpendicular to planes in the direct lattice. the gap between the 4th and 5th bands is the bandgap: its value is E g = 1. Typically. a reciprocal lattice number G b was introduced. there is no net crystal momentum. in which they would then be ‘free’ to gain crystal momentum from an applied field. In the monovalent case the electrons can respond to this stimulus by moving into allowed states of higher energy and crystal momentum within the half-full first band.8 Band structure of real semiconductors In our simple 1-D example. electrons could be accelerated into states of higher crystal momentum. in this simple case. and so we have an insulator.5 eV . This is the case for most metals. The Cartesian axes refer to directions of the Bloch wavevector k. provided the electrons stay in the full band. if we are alluding to the reduced-zone plot. the only possibility for getting a net gain in crystal momentum would be if some electrons could somehow acquire enough energy to cross the forbidden energy bandgap and then populate some of the states in the empty second band. at 0 K these would fill-up the first 4 bands. . consists of a linear array of points separated by 2π/a. If this bandgap is very large.12 eV at 300 K. The direction of the reciprocal lattice vector in reciprocal space is perpendicular to that of the planes in real space [4]. no current. it is unlikely that electrons can be excited into it. there can be no net motion of charge carriers. let us put some thermal energy into the system by increasing T . in Si. which. in the entire material there are 8N valence electrons. and its magnitude was some multiple of 2π divided by the spacing between primitive unit cells in a linear array. some electrons can be excited into the conduction band. where a is the spacing of primitive unit cells in the direct lattice.e. useful semiconductors have a bandgap in the range 0. 2. such as an applied electric field: therefore. we don’t always make this distinction. Thus. The primitive unit cell in reciprocal space for the face-centred cubic lattice in real space is a truncated octahedron (see Fig.2. no matter how high the applied field is. or in real space. 2. Thus. In the divalent case. Thus.5–3. each of which has 4 valence electrons. and we have reciprocal lattice vectors which have a magnitude of some multiple of 2π divided by the spacing between planes of atoms. 14 2 Energy band basics kz L Λ Γ Σ U ∆ K W X ky kx Figure 2. the (100) plane intersects the x-. ∞. The normal to this plane is specified by the same set of numbers. as illustrated in Fig. and is illustrated in Fig. and equivalent normal directions as 100 . ∞.8 for the diamond/sphalerite structure. University of Glasgow.. respectively.0) should have exactly the same properties as (100) surfaces. For example.1.y-. such surfaces are denoted {100}. in reciprocal space. Because the labeling of the axes is arbitrary. Various symmetry points are labelled. z (111) plane ˆ n = (1. The latter set becomes (100) by taking the reciprocal of each intercept and reducing to integer values. The notation is that of Miller indices. Collectively. . 2. but with a different parenthesis. or the primitive unit cell in reciprocal space. for the real-space face-centred-cubic lattice. 2.1) y x Figure 2.7 The Brillouin zone. in the natural Cartesian coordinate system of the direct lattice. [100].8 Real-space diamond structure.1. surfaces such as (−1. i.e.0. Thus. Courtesy of John Davies.z-axes at a. the normals to crystal planes.1.0) and (0. with the (111) plane highlighted. Reproduced from Pulfrey and Tarr [5]. 8. Numerical calculations are necessary. On Fig. for example. C Cambridge University Press 1998.2. k y .8 Band structure of real semiconductors 15 4 0 Energy (eV) −4 −8 −12 (a) Si (b) Ge 4 0 Energy (eV) −4 −8 −12 L Λ Γ ∆ X U. firstly. The remaining valence bands are more directional. These point symbols come from Group Theory. (d) AlAs. 2. The other direction of interest to us for the transistors and diodes discussed in this book is the [111] direction. for example. The lowest band retains some of the symmetrical character of the atomic s-orbitals. Srivastava. Original data from G. and derive more from the three atomic p-orbitals. ∞). 2.9 Real band structure of four semiconductors widely used in transistors and diodes: (a) Si.9. 2. reproduced with permission. University of Exeter. which passes from to L at the centre of the hexagonal faces of the reciprocal lattice unit cell. ∞.7 this direction is from the origin of k-space at the so-called -point out through the centre of the square surface at the so-called X -point. K Σ Γ (c) GaAs (d) A1As K K Figure 2. Note.P. 2. 2.x .9 because the highest is actually two bands with the same . the similarity around the -point of the lowest three bands for all of these materials. In crystals of Si and GaAs. and a clear example of one particular method is given by Datta [6]. k z )-surface that has intercepts in reciprocal space of (G 1. (b) Ge. denotes the normal to the (k x . the orbitals of the valence electrons hybridize (3sp 3 in Si and 4sp 3 in GaAs). Only two higher valence bands appear in Fig. [100]. (c) GaAs. The band structure for the 3-D case is not as easily arrived at as in the 1-D case. Fig.K Σ Γ L Λ Γ ∆ X U. in the direct lattice it is the normal to the plane that is highlighted in Fig. Results of detailed calculations for some common semiconductor materials are shown in Fig.16]. From Davies [7. These are the valence bands and they are similar because they relate to the similar bonding coordination of the diamond and sphalerite structures. .7. the bottom of the conduction band in Si is anisotropic in k-space. conduction electrons are ‘freer’ than valence electrons. define the very useful concept of the effective mass of a mobile charge carrier. the region of greatest interest for the transistors and diodes considered in this book is centred around the bottom of the lowest conduction band. the conduction-band structure is very complicated. Here. Starting at this new state and then proceeding directly to produces another band in the [100] direction. In GaAs. Fortunately. but the zone lengths are different from that in the -X direction. Now. Recall that its length is 2π divided by the spacing between (100) planes. proceeding to W and then to X would produce a state at X with a different energy to that of the state arising from the direct path of -X . Thus there are. and is non-degenerate. . GaAs is said to have a direct bandgap. start at the point and move through the zone to the X -point. this occurs at the -point. in fact. the 3-D situation is complicated by the fact that bands in a particular zone can arise due to wavevectors arriving at the zone boundary by various routes. |k100 |max = 2π/a. However. In Si. which is a/2 in the face-centred cubic structure. Finally. For example. 2. The band minimum does not occur at the same value of crystal momentum as the extremum in the valence band: thus. as required to accept the 8N electrons of the N primitive unit cells for these materials. To construct a conduction band in the [100] direction.9 Crystal momentum and effective mass In Section 2. 2. focus on the point on the k x axis where the conduction-band minimum occurs in Si.. for example. Thus. so the conduction band is isotropic in k-space. 2. As the valence band extrema occur at the same value of k. starting at the origin again.e. in Fig. the lowest minimum of the conduction bands occurs at a point that is about 80% of 1 G 100 . four valence bands.34 eV).16 2 Energy band basics E-k relationship: they are said to be doubly degenerate. i.5 we hinted at a relationship between an electron’s crystal momentum and an external force that may be acting on it. i.04 eV) and larger in GaAs (0.e. and a new conduction band will fold back into the zone. There will be an energy gap at this boundary of the zone. Thus.7. Si is an example of an indirect bandgap material. move away from this point in any perpendicular direction. When the magnetic moment of the spinning electrons and the angular momentum due to their orbital motion is taken into account. just as we determined in our 1-D example. The conduction bands for Si and GaAs are noticeably different: the atomic-orbitalcharacter of the electrons is lost because the wavefunctions of the conduction electrons are not required to yield a high probability density in the immediate locality of the atoms. with reference to Fig. with overlapping bands and some degeneracies. this spin-orbit coupling lowers the energy of one of the degenerate bands: the splitting is slight in Si (0. we derive this relationship and. The edges of the Brillouin zone are equidistant from the point in these perpendicular directions. where G 100 is the 2 reciprocal lattice vector for the first band in the [100] direction. along the way. and moving to the K-point. The question arises: what is the appropriate velocity? So far we have described electrons in a crystal via Bloch wavefunctions. Thus. This is not too helpful if we wish to have a better idea of where the electron is in the actual semiconductor device. ext = Fx. the more tightly constrained in space the wavepacket will be. and be endowed with a trajectory. the response to an external force can 8 9 F = −qE for an electron. for example. By superposing waves of slightly different k.24) 1 dE . Therefore. i. mechanical momentum of the electron. where we have a 1-D Bloch wavevector k in the x.25) (2. from general wave theory. which could be due to an applied electric field E. ext vx . and the more the electron will appear to have mass at a point. dt dt (2. For example. .22) is the velocity of the centre of the wavepacket: the group velocity. We would expect to need such information when considering the effect of external forces applied to an actual semiconductor device. ext = d( k x ) . vgroup = dω/dk. The electron can then be treated classically.22) and using d E dk x dE = . it does give us the electron’s crystal momentum. to be particle-like. These tell us that the probability of finding an electron at some point in a primitive unit cell is the same for all of the primitive unit cells of the crystal. the velocity to use in (2. ext . The terms ‘quasi-neutral region’ and ‘depletion region’ are explained in Chapter 6. dt dk x dt we arrive at Fx.or depletion-region of a solar cell is important to know regarding the likelihood of that electron contributing to the photocurrent. where the angular frequency ω is related to the energy by E = ω.direction. via the wavevector k.23) This is an amazing result: it tells us that k behaves as the momentum for external forces applied to an electron moving through a periodic structure! In other words. which is obviously helpful when following an electron through a device.. whether a photo-excited electron is in the quasineutral. we don’t have to know the actual.e. in our case. dk x (2. vx = Substituting into (2. Recall that.9 While a single wavefunction doesn’t give us precise spatial information about the electron.8 The electron gains energy from the field according to dE dx = Fx. Instead. dt (2.9 Crystal momentum and effective mass 17 Consider the 1-D case of an electron in either the conduction band or the partially filled valence band and subject to an external force Fx. a wavepacket can be constructed: the wider the range of k’s used. which will change periodically in response to the crystal field.22) where vx is the velocity in the x-direction.2. 65 B 0.08 be simply calculated from a consideration of only the time-dependence of the crystal momentum.9.1 at the end of Section 2. and in multi-dimension systems is.25). Some values are given in Table 2.54 0.78 4.27) dvx 1 d 2 E dk x 1 d 2 E d( k x ) = = 2 . and C are from Reggiani [9].12 1.15 0. p. which is called the effective mass m ∗ (E).27). and are taken from Pierret [8]. Also.1 Negative effective mass From (2. 2.26) This equation has the familiar form of Newton’s Second Law of Motion.1 Some band parameters for Si and GaAs. consider the acceleration of the electron (again using 1-D for simplicity) ax = Using (2. allowing us to associate a mass. where the E-k relation is convex upwards.19 m∗ hh (m 0 ) 0.28) we see that m ∗ (E) is positive at the bottom of bands. 2 2 dt dk x dt dk x dt (2. because m ∗ (E) depends on the band structure. (2. responds to the external force just as if it were a free particle.e. a tensor [2.10.. where the E-k relation is concave upwards.42 m∗ e (m 0 ) 0. i. Eg (eV) 1.. once we know the band structure of a semiconductor.80 7. This means that. with the bracketed term in (2. thereafter. To emphasize the key point of this section: an electron moving under the combined influence of an externally applied force and the forces associated with the lattice ion cores. . B.e. the effective mass is not expected to be equal to the free-electron mass m 0 .51 ∗ m lh (m 0 ) A 4.82 C 4. Let us deal with the conduction band first. To make use of this remarkable fact.66]. leads to ax = 1 d2 E 2 dk 2 x Fx. (2.92 m∗ t (m 0 ) 0. but with a mass that is determined by the band structure of the host material.067 m l∗ (m 0 ) 0. and. m ∗ (E) depends on the direction. not concern ourselves about the internal details of how the potential varies according to the electron-ion core interactions. and negative at the top of bands.28) Evidently. in fact.22 7. which depends on the potential energy environment of the crystal. The values for A. ext . for our 1-D case m ∗ (E) = x 1 d2 E 2 dk 2 x −1 .71 Semiconductor Si GaAs 0. we can compute m ∗ (E).18 2 Energy band basics Table 2. The effective masses are for T = 4 K. i. 1. i. The x-variable is kx .10a). the hole energy increases in the downwards direction of the E-k diagram.2. however. holes accelerate in the same direction as the applied external force..e. so a positive force causes a positive change in crystal momentum. If an electron is somehow excited into one of these empty states. For this reason. so these electrons can usually be relied upon to stay near the bottom of the band. How such empty states can arise is discussed in Chapter 3. and to accelerate in the direction of the applied force. This means that.. In other words. just like ‘normal’ objects. (a) Excitation of an electron to a higher energy state in the valence band. At the bottom of the conduction band m ∗ (E) is positive. i.e. i. but it can be appreciated that they will exist near the top of the band because the electrons will tend to gravitate to their lowest possible energy states (see Fig.e. the conduction-band electrons usually don’t enter this part of the zone. The transition from positive to negative effective mass marks the point where the acceleration due to the external force is overcome by the increasing Bragg reflection of the Bloch waves as the Brillouin-zone boundary is approached. rather than of the large number of electrons in . (d 2 E/dk 2 ) > 0.10 Hole energy. 2. it is the top of the band that is most important regarding the motion of charge carriers. the electron accelerates in the direction of the applied force. the momentum transfer from the applied force to the electron becomes less than the momentum transfer from the lattice to the electron. This exchange can be thought of as giving energy to the hole.9 Crystal momentum and effective mass 19 Electron energy Hole energy (a) (b) Figure 2. there must be empty states in the band into which the electrons can move. an empty state will appear lower down in the band (see Fig. Thus. as the electron moves up the band. is negative. and the hole effective mass is positive near the top of the band. from the hole point of view. As we point out in Section 4. However. which is invariably drawn from the perspective of electrons. In order for there to be a net change in crystal momentum of the elecrons in the valence band.10b). 2. In the valence band. thereafter.. the hole can be viewed as having gained energy. The empty states near the top of the valence band are called holes. it passes through a crystal momentum state at which m ∗ (E) becomes infinite and. and for the fact that it is easier to keep track of the movement of a relatively few number of holes. the hole energy increases downwards. (b) After the excitation. we write the kinetic energy as ˆ E−E= k . for electrons near the bottom of the conduction band. where there are positive-effective-mass electrons moving in a predominantly empty band. Thus. we choose to designate charge conduction in the valence band as being due solely to holes.VB + q A) d = 0.28) informs that a parabolic E-k relationship would yield such a constant effective mass. 2. when we talk of conduction by electrons we are implicity referring to the lower regions of the conduction band. By analogy.30) where pi is the concentration of holes in the valence band and n i is the concentration of electrons in the conduction band. we can anticipate that it would be useful for analytical purposes if the effective mass in these regions could be treated as a constant. 2.31) .e. The new valence-band electron concentration is n i.VB . Inspection of (2. they have a different polarity of charge. n i. and the charge equation becomes (−qn iVB − qn i + q A) d (q[ A − n iVB ] − qn i ) d (qpi − qn i ) d =0 =0 = 0.VB and A are the concentrations of electrons in the valence band and of atoms. (2. Now. a positive charge is associated with the holes. The top of the valence band is the domain of holes. To see this.3 Parabolic-band approximation Given that the regions of the E-k diagram near to the band extrema are of particular importance. From now on. imagine that we have an intrinsic semiconductor with a full valence band and an empty conduction band: the material is neutral as the electron charge balances the charge of the atomic cores (−qn i. and we consider them to represent the carriers of current in this band.9. and for holes near the top of the valence band.9.602 × 10−19 C is the magnitude of the electronic charge. 2m ∗ 2 2 (2. i.29) where q = 1.2 Hole polarity Although the two types of charge carrier discussed above have positive effective mass. consider raising the temperature so that some electrons are excited from the valence band to the conduction band. E = ( k)2 /2m 0 .. The E-k relationship for a free electron is truly parabolic. respectively.20 2 Energy band basics the valence band. and is the volume of the material. rather than as being energy dependent. (2. The situation then becomes analogous to that near the bottom of the conduction band. 10 Constant-energy surfaces 21 35 30 25 E/(h 2/8ma 2) 20 15 10 5 0 ka/p 0.11. 2.6. The conduction band.11. the parabolic-band approximation is very useful because. can be envisaged as comprising electrons of higher and higher velocity as the band is populated from the bottom. and over various potential barriers in transistors and diodes. and imagine it to contain some electrons near the bottom of the band. 2. 2. thereby losing energy and momentum. they will inevitably collide with the atoms of the lattice. If an electric field is now applied these electrons will gain crystal momentum and move ‘up’ the band. This picture will prove extremely helpful when considering the injection of carriers into a semiconductor. let us apply the parabolic-band approximation to the 4th and 5th bands from Fig. (2. 2. One further implication of the constant effective-mass description is that crystal momentum states k can be viewed as velocity states. ˆ where E > 0 is the energy of the extremum of the appropriate band. As an example. in reality.32) . For example.5 1 Figure 2. 2. which gives an idea of the limited applicability of the approximation.6.2.11 Fitting of parabolae to the extrema of the 4th and 5th bands of Fig. it is in these regions that the charge carriers in which we are interested are found most often. and is called the parabolic-band effective mass. the parabolic-effective-mass concept leads naturally to E − E C0 = 2 2 k2 k2 kx y + ∗ + z∗ m∗ my mz x 2 . and be returned to states near the bottom of the band. and m ∗ is a constant near the extremum. The result is shown in Fig. However.10 Constant-energy surfaces In 3-D structures. However. consider the upper band of Fig. for example. On the right is a detail of one of the constant-energy surfaces.22 2 Energy band basics Figure 2. x y z Thus. because of the six-fold symmetry of the basically cubic lattice. 2. and approximations for the band structure beyond the order of the . the parabolic effective mass is isotropic. With reference to Fig. Measurements of effective mass are obtained from cyclotron resonance experiments performed at very low temperatures.12). Commonly accepted values for 4 K are given in Table 2. m ∗ = m ∗ = m ∗ . for GaAs. This is the central point of the Brillouin zone and. showing the longitudinal and transverse effective masses. Thus. reproduced with permission.7). Turning now to the valence band. it can be seen that E C0 occurs about 80% of the way towards the X -points. with reference to the primitive unit cell in reciprocal space for the diamond/sphalerite structure (Fig. it can be seen that E C0 occurs at the -point. and mark it as the k x -direction. Thus in Si.12 The six equivalent constant-energy surfaces for some energy E > E C0 in the lowest conduction band of Si.12. orthogonal directions. 2. The constant-energy surface around each of the six equivalent conduction band minima is a prolate spheroid. 2. m ∗ is called the longitudinal effective mass (labelled m L y z x x in Fig. in this case. the same k-space environment is encountered.19]. 2.12). 2. 2. there are six equivalent X -points. Due to this degeneracy.067m 0 .1. i. From Davies [7. consider the energy minimum in the direction to the right.9 for Si.9 for the band structure of GaAs. 2. there are interactions between the electrons in each of the bands near the extremum. traverses exactly the same k-space environment as would be encountered on moving away from the -point in the other two. where we have taken the example of the energy near the bottom of a conduction band ˆ for which the extremum is the energy E = E C0 . From Fig. at the bottom of the lowest conduction band. C Cambridge University Press 1998. From Fig. it can be seen that moving away from this point in the k x -direction. m ∗ = m ∗ = m ∗ .e. Fig.. and the other two are called the transverse effective mass (labelled m T in Fig. the surface in k-space for some value of E > E C0 is a sphere centred on the -point. The actual value is 0. Usually. In fact. a complication arises in the cases of both GaAs ˆ and Si inasmuch as there are two bands with the same minimum hole energy E = E V 0 . but this environment is different from that in the k x -direction. Moving away from this energy minimum in either of the two orthogonal directions. 1.13. and the − sign is for the heavy-hole band. and C for GaAs and Si are given in Table 2. B.34) .33) where the + sign is for the light-hole band. the effective mass m ∗ (E).11 Effective-mass Schrodinger equation 23 kz kz kx ky kx ky Figure 2. Courtesy of Parham Yaghoobi. 2.1. ∗ Typical values for m ∗ and m lh at 4 K are given in Table 2. Approximating them as actual spheres allows effective masses for the heavy and light holes to be identified.11 ¨ Effective-mass Schrodinger equation As we have seen in Section 2. the potential energy due to a variation in ionized impurities in the crystal.¨ 2. engineered potential energy U M . Heavy holes on the left. the band with the greater convexity..e.9.13 Constant energy surfaces for holes in Si. 2m 0 d x 2 2 (2. thereby simplifying this formidable equation in situations where the potential energy is a superposition of that due to the periodic lattice U L and some macroscopic. Examples of the latter are: the potential energy due to an applied electric field. and these values are often used in calculations. parabolic are necessary. we o state how the parabolic-band effective mass m ∗ can be incorporated into the Schr¨ dinger Wave Equation. Here. (2. and light holes on the right. hh 2. i. the time-independent Schr¨ dinger Wave Equation from (2. UBC. Values for A. useful information about the band structure of a crystalline material is distilled into a single parameter. such as occurs in a p-n junction. Examples of the constant energy surfaces are given in Fig. It transpires that a good approximation is E(k) − E V 0 = 2 2m 0 Ak 2 ∓ 2 2 2 2 B 2 k 4 + C 2 (k x k 2 + k 2 k z + k z k x ) y y . but usually the surfaces are described as those of warped spheres.3) becomes o − d2 + U L (x) ψ(x) + U M (x)ψ(x) = Eψ(x) . In the presence of this additional potential energy U M (x). The shape depends strongly on the energy. which produce a smoothed-out version of the true electron concentrations. This is because the sum of the probability densities of all the electrons F(x)F ∗ (x) involves the envelope functions. r u is independent of k in the neighbourhood of k0. 2m ∗ d x 2 2 (2.34) are [10]: r Only one band is involved. or by direct use of the full-wave equation (2. This means that electron energies must be restricted to near the bottom of the conduction band. i. we assume we are talking about the lowest band.36) where F is the envelope function of the actual wavefunction ψ. This will obviously have to be relaxed for the valence band.. at which we have taken the Bloch wavevector to be k0. so ν = 1 and we drop the band index. in which it experiences only the potential energy U L .37) after solving the effective-mass equation. when compared to the spatial variation of the potential energy U L (x) due to the periodicity of the crystal.10 The new equation takes the microscopic details of the semiconductor into account via m ∗ and o E C0 . r F(x) varies slowly with x. either by the use of the Bloch function in (2.34). at the top of which both heavy and light holes are present in separate bands. and it is called the single-band. r Information on the atomic-scale variation of the electron concentrations is not needed. and ν is the band index. evaluated at the bottom of the conduction band. even when not all of the above conditions are strictly satisfied. This condition stems from the k need to attribute most of the variation in k of the Bloch wavefunction in the perfectly periodic case to the plane-wave part of the wavefunction. Despite this seemingly very restrictive set of conditions. which would include atomic-level information.e. the single-band. The latter would be obtained from ψ(x)ψ ∗ (x). r The parabolic-band effective mass is applicable. The conditions under which (2.37) where u 0 is the periodic part of the Bloch wavefunction. . effective-mass Schr¨ dinger Wave Equation: − d2 + U M (x) F(x) = (E − E C0 )F(x) . 2m ∗ 2 2 (2. the two functions can be approximately related by ψ(x) = u k0 (x)F(x) .35) where E C0 is the energy at the bottom of the band. 10 From hereon. and can give insightful results. (2. effective-mass equation is widely employed.37) is a reasonable solution to (2. The equation we now present assumes that the conduction-band energy can be described by a parabolic relationship E ν (k) = E C0 + k .24 2 Energy band basics where the square brackets denote the Hamiltonian for a single electron moving through a perfectly periodic crystal lattice. The resulting plot is called an energy-band diagram. but a posteriori there is good reason to choose (2.11. (2. (2. 2.14).35) for parabolic energy bands.41). 2.14. in diodes and transistors.2. we need information of the energy variation in real space. To convey this concisely. taken to apply to the interface at x = 0 between two regions (1 and 2). as it is usually called.39) The boundary condition for the envelope function itself is the same as for a true wavefunction: F1 (0) = F2 (0) . the conduction-band edge. Often.11 With this interpretation.41) 2m ∗ where E C is the position-dependent conduction band potential energy. x=0 (2. and imagining that energies above it at any position x represent the kinetic energy of electrons at that point. The example is for the case of a uniform electric field. which would cause a linear change in the macroscopic potential energy U M (x). (2.40) These boundary conditions are used elsewhere in the book when tunnelling is examined. and add to it the macroscopic potential energy E = U M (x) + E C0 + ≡ E C (x) + 2 2 k 2m ∗ 2 2 k . 11 E C differs from the electrostatic potential energy by a material constant called the electron affinity. It is now possible to convey the spatial variation of E ν (x) for the lowest conduction band by simply drawing E C (x).12 Energy-band diagram 25 2. 2 k 2 /2m ∗ becomes the kinetic energy of electrons in the conduction band. .38): it suggests a boundary condition for the derivative of F that correctly conserves current (see Exercise 5.1 Boundary conditions for the effective-mass equation The effective-mass Schr¨ dinger equation can be recast as o − d 2 dx 2 1 m ∗ (x) dF dx + E C (x)F(x) = E F(x) . The boundary condition in question.12 Energy-band diagram The band structure of a semiconductor gives information of the energy in k-space.36). is 1 d F1 m ∗ (x) d x 1 = x=0 1 d F2 m ∗ (x) d x 2 .38) where E C (x) = U M (x) + E C0 is defined following (2. as we show in Chapter 6. or. No a-priori reason can be given for the greater correctness of this form of the equation than that of (2. The relationship between it and the parabolic dispersion relationship is illustrated in Fig. we return to the expression (2. photon generation in LEDs. We ended by distilling the microscopic details into an effective mass and an energy band edge. photon absorption in solar cells.14 Representation of the relationship between the band structure and the energy-band diagram. for example. This led to energy-wavevector plots and the concept of allowed states of crystal momentum in bands of energies separated by energy bandgaps. macroscopic forces. We started by treating the electron as a wave and by considering the microscopic nature of the semiconductor crystal in which the electron moves.2 Electrons propagating through periodic structures can be represented by Bloch functions. The example is for the case of a homogeneous semiconductor in a uniform electric field. Construct the primitive unit cell for the new lattice.and valence-band edges.1 Consider Fig. However.2.26 2 Energy band basics Electron KE 0 EC 0 EV Eg Position Hole KE Wavenumber Wavenumber Figure 2. What is the basis? 2. Remove the middle rows of atoms so that the resulting structure is no longer face-centred rectangular. and the prospect of being able to view the electron more classically as it moves through a device in response to external. We take advantage of this macroscopic viewpoint whenever possible in the following chapters. its underlying microscopic basis should always be kept in mind. E C (x) and E V (x). 2. respectively. The change in potential is conveyed by a spatial change in the conduction. 2. tunnelling and strain-engineering in MOSFETs.13 From microscopic to macroscopic We have come a long way in this chapter. but is simply rectangular. and there is no alternative to the microscopic viewpoint when considering events that are important in some devices. Exercises 2. Electron KE 0 0 Hole KE . carrier confinement in HJFETs and carrier transport in 1-D nanotransistors. This led to energy-position plots. 4 2. If a positive electric field Ex is now applied.9 Fig. cubic lattice. B. √ Show that the distance to L in the direction in Fig. has N primitive cells/unit length. This information is relevant to devices where surface conditions are important.6 2. A new 1-D material. will the empty state move first to a position of higher hole energy or lower hole energy? 2.7 2.17). Which of these two materials is a semiconductor? Consider a simple 1-D semiconductor crystal comprising 6 primitive cells separated by a distance a. each atom has 4 valence electrons. Each primitive cell contains a single atom. 2.10b shows the valence band of a semiconductor with one unoccupied state below the top of the band. 2.10 Write a short program (a . for example) to plot your own version of Fig.9. A.7. For your numerical answer use [m 0 βa/ 2 ] = 4.m MATLAB file. has N /2 primitive cells/unit length. 2 atoms/ primitive cell and 1 valence electron/atom.7 for the primitive unit cell in reciprocal space of a face-centred cubic lattice. Compare this unit cell with that shown in Fig. Identify the conduction band and the bandgap.8 we showed that |k100 |max = 2π/a for the Brillouin zone of Fig. 2. Search beyond this book for a drawing of the primitive unit cell for a real-space. respectively. can be expressed as E A = αk 2 and E B = 2α(k − k )2 . The E-k relationships for the conduction bands of two semiconductor materials. each with spherical constant-energy surfaces. 2.8 Show that these functions properly account for the fact that an electron must have equal probability of being in any of the identical primitive unit cells in a perfectly crystalline material. This length is the distance between and X in the direction on the figure. In Section 2. Compute the number of atoms per unit area on the (100) and (111) surface planes in the silicon lattice.5 2.Exercises 27 2. where α is a constant and k > 0. Plot the figure. Which material has the higher electron effective mass? 2. . Make a rough sketch of the reduced-zone representation of the band structure. rather than the value of 10 used in the text. A and B. 2. Another new 1-D material. The difference in the two vector lengths is evident from the x-axis of the band-structure plots of Fig. 1 atom/primitive cell and 1 valence electron/atom. 2.7 for a face-centred cubic real-space lattice of lattice constant a. as in MOSFETs. body-centred. which is |k111 |max . is 3π/a. for example.4 from (2. Sketch how these primitive unit cells nest together to fill up all of real space or reciprocal space.3 2. 3-D. Making use of (2.6.9475 3.8500 1. John Wiley & Sons Inc. Fig. Jr. Physics and Technology. and perform a Taylor Series expansion to first-order of the right-hand side of the equation.0000 2. an analytical approach is to be used via an expansion of (2. 1st Edn. (b) Imagine.7981 4. 2.42) where g ∗ a is ga at the extremum.12 The ga values for the first 5 allowed bands from Fig.9205 1.17) about the valence-band extremum.8871 1. and recall that [m 0 βa/ 2 ] = 10 in this case. 2. 2.5285 4. each of which has 2 valence electrons. and G. Holonyak.7701 0.5678 4. 1985.M. (a) Plot your own version of this reduced-zone plot. 1989.8 0.9331 4. This data was used to generate Fig.4681 0.0000 2.28 2 Energy band basics 2. Semiconductor Devices.3988 0.6 0.0000 4.6984 3.8804 2..8343 3. and A ≡ [m 0 βa/ 2 ] = 10. that this plot applies to a material with a primitive unit cell that has a basis of 2 atoms.0000 1. N. Sze. Take the first two terms of the cosine expansion on the left-hand side of the equation. and a primitive unit cell that has a basis of 2 atoms. and compare this with the ‘true’ band as given by the ga data in Exercise 2.13 In the previous question a value for the parabolic band effective mass was found by curve fitting.6105 0.11 Imagine that your plot from the previous question applies to a material with a lattice constant of 0. show that −1 m∗ h = ∗ sin g ∗ a − A m0 g a cos g ∗ a sin g ∗ a − ∗ 2 g∗a (g a) .0 1. The discrepancy should be seen to be merely due to how far away from the extremum you wish the parabolic fit to extend.5 nm.4 0.4 are listed in the table below.E.M.8364 2. Compare your result with that from the previous question.9814 1. References [1] S. (2.2 0. Stillman. Wolfe.9367 1.7887 2.4723 5. each of which has 2 valence electrons.0000 3.9637 2.7171 2.7112 3. [2] C.12. Here. and give your estimate (in units of m 0 ) of the parabolic-band effective mass for holes. Physical Properties of Semiconductors.6). 1. use your value for m ∗ from this question to h make a new parabolic curve.. If the discrepancy bothers you. Prentice-Hall. ka/π ga1 /π ga2 /π ga3 /π ga4 /π ga5 /π 0 0. Show a parabolic fit to the valence band.6533 4. Estimate the bandgap of this material in eV .3b. .6099 3. 1989. 6. Advanced Semiconductor Fundamentals. Introduction to Quantum Mechanics. 1995. 198–201. pp. Cambridge University Press. 1998. Quantum Phenomena. Datta. Sec. Fig. Reggiani. Datta. Chap. Sec.7a. Cambridge University Press. 1985. Hot Electron Transport in Semiconductors. 5. . Addison-Wesley. Introduction to Solid State Physics. John Wiley & Sons Inc. 2.L. Griffiths. Pierret. SpringerVerlag. 1968. Chap. 1.H.1 and Chap.J.3. The Physics of Low-dimensional Semiconductors. Kittel. Tarr. Quantum Transport: Atom to Transistor. 2005..References 29 [3] D. Prentice-Hall. 2. Chap. 3rd Edn. [6] S. [4] C. 1987. Addison-Wesley. [5] D. Introduction to Microelectronic Devices. 52–55.. Pulfrey and N. 3.F. Davies. [8] R.G. Prentice-Hall. [7] J. 1: General Theory. [9] L. [10] S. 1989. A phonon is a quantum of lattice vibrational energy.2a this interatomic coupling is represented by springs. Occasionally. as there are no available states elsewhere. the dispacements give rise to transverse modes [1].. the crystal lattice. One of the objectives of this chapter is to determine the density of these states in energy or momentum. 3.2. to release an electron from the valence band. which define their energy and crystal momentum.e. 3. Again.1 Creation of electrons and holes The four mechanisms by which electrons (in the conduction band) and holes can be created in the devices discussed in this book can be classed as thermal. as well as the densities of the carriers in real space.1. the energy gained by the electron must be sufficient to promote the electron into the conduction band. . 3. and chemical.1. The situation is illustrated in Fig. the lattice atoms vibrate about their mean positions. respectively. The associated phonons are termed acoustic and optic. 3.3 Electron and hole concentrations From the previous chapter we learned that the mobile charge carriers in a semiconductor can be categorized as electrons in the conduction band and holes in the valence band. 3. The lattice vibrations travel through the material as waves because the random vibrations of each atom are coupled to neighbouring atoms by the bonding forces. i. so they are called longitudinal modes. Examples of two vibrational modes are shown in Fig. However. We begin. in a perfectly periodic crystal. depending on whether the displacements of neighbouring atoms are in-phase (Fig. In Fig. with a look at how the mobile carriers are created and destroyed. Obviously. The displacements of the atoms are in the direction of the chain of atoms. can only vibrate in specific modes. The carriers occupy states. optical. where an electron from state 1 in the valence band is promoted to state 1 by the absorption of phonons. 3. 3.2b) or out-of-phase (Fig. acoustic and optic phonons are possible. the local amplitudes of vibration are sufficient to break a valence bond.2c). however.1 Thermal generation For temperatures T > 0 K. electrical. being a mechanical structure. If the atoms are allowed to vibrate in the two directions perpendicular to the direction of the atomic chain. The elements of the phonon band structure (allowed bands (branches). the periodicity of the lattice imparts a band structure to phonons that has some similarities with that of electrons. not six. (a) Representation by springs of interatomic coupling. (a) (b) (c) Figure 3. This gives rise to longitudinal optic phonons.e. (b) The displacements of the light and heavy atoms are in-phase. This gives rise to longitudinal acoustic phonons.2 Examples of atomic displacements in a 1-D array in which the unit cell comprises a light atom (open circle) and a heavier atom (shaded circle). they are doubly degenerate. bandgaps. The energy-momentum dispersion relationships for phonons in Si and GaAs are sketched in Fig. (a) on an E-k plot. The arrows pointing towards the band structure denote absorption. (b) on an energy-band diagram. reduced-zone representation) can be readily obtained by first viewing the displacements as constituting travelling waves of the form u na = A exp[i(βna − ωβ t)] . (3.1 Creation of electrons and holes 31 〈1′〉 EC EV 〈1〉 (a) (b) Figure 3.1 Representation of thermal generation of an electron-hole pair. Note that the frequencies are higher in Si than in GaAs because of the former’s lighter atomic mass. 3. (c) The displacements of the two atoms are out-of-phase.3. In real 3-D crystals.. For these basically cubic materials the two transverse modes are the same. i.3.3 for a particular direction.1) . The square waves are a symbolic representation of phonons of different frequency. so we see only four branches in the band structure of Fig. 3. 2a can be used to formulate the equations of motion for this system of interconnected atoms. i. The allowed phonon energies (frequencies of vibration) predict acoustic and optic modes and. Frequency (THz) . Thus. many phonons must be simultaneously absorbed for the electron to be excited to the conduction band. and is assigned the label n i . divided by the gram molecular weight. energy is gained by the electron at the expense of the overall phonon energy. n i = pi . the spring representation of Fig.. 3. 1.12 eV A glance at Fig.e. The likelihood of this happening is not great. i. while the former is around 1 × 1010 cm−3 at room temperature.32 3 Electron and hole concentrations 60 TO Energy (meV) 40 LA 20 (a) Si LO 15 60 (b) GaAs 15 10 40 LO TO 10 TA 5 20 LA TA 5 0 Γ 0 K X 0 Γ 0 K C X Cambridge University Press 1998. and occurs irrespective of any other methods of excitation.3 tells us that phonons . the value is 5 × 1022 atoms/cm3 . therefore. For Si. let us take the thermal generation event in Fig.3 Phonon spectra for Si and GaAs. this thermal process is intrinsic to the material. 1 The density of atoms in a material is given by the product of Avogadro’s number and the material density.e. the electron absorbs the energy of many phonons. (3.. 3. pp. reproduced with permission. where u na is the displacement of the n th atom in a chain of atoms with spacing a. 70–75]. the electron must have gained energy at least equal to that of the bandgap. 3. From Davies [2]. Returning now to electron-hole-pair generation. or..3) (3. have much lower energies than this. where the subscript i refers to intrinsic material. Each atom has 4 valence electrons.1 The latter is about 2 × 1023 cm−3 for silicon. The conservation of energy alluded to above can be expressed as [E e 1 − E e 1 ] − ωβ = 0 . Figure 3. Secondly.e. Thus. β is the phonon wavevector and ωβ is the radian frequency. bandgaps at the zone boundary [2. so the number of electrons and holes produced in this way will be much smaller than the number of electrons in the valence band.1 to have occurred in silicon. where pi is the concentration of holes created by this process.2) i. in the case of diatomic unit cells comprising atoms of different masses. 6) where c is the velocity of light in vacuum and n r is the refractive index of the semiconductor.1 Creation of electrons and holes 33 〈1″〉 〈1′〉 EC EV 〈1〉 (a) (b) Figure 3. Instances of phonon absorption and emission are also shown. (b) on an energy-band diagram.and momentum-balance equations are E e 1 − E h 1 = ωphoton + ke 1 − kh 1 = kphoton + (ωβa − ωβe ) (βa − βe ) .5) where βa and βe are the wavevectors of phonons that are either absorbed or emitted. 3. kphoton ≈ 3 × 106 m−1 . (3. Obviously.2 Optical generation Generation of an electron-hole pair by absorption of optical energy is illustrated in Fig. 3. The energy. Momentum must also be conserved during the process.4.1b.8 × 2π/a in Si. . This can be expressed as [ ke 1 − kh 1 ] − β = 0. (3. Contrast this with the wavevector difference between an electron at the top of the valence band at the -point and one at the conduction band minimum. information of the momentum exchange is not conveyed.1. (a) on an E-k plot. 3. c (3.4 Representation of optical generation of an electron-hole pair.12 eV photon in Si. respectively. as can be readily verified from kphoton = E nr . The sine wave indicates absorption of a photon.3. in the energyband diagram representation of the event in Fig.4) The requirements of conservation of both energy and momentum determine the number and type of phonons that participate in the absorption process. For a 1. for example. Phonons need to be involved if the electron transition involves a momentum change because photons carry very little momentum. which is at ≈0. are not restricted by Pauli’s Exclusion Principle to single occupancy of a state. A high-energy electron collides with a lattice atom. The energy from the electron transition 1 → 1 is absorbed by the lattice and promotes an electron from state 2 to 2 . and there is a transfer of kinetic energy and momentum to the atom. so phonons must be involved in the transition. In (b).5.and 2 The average number of phonons in a vibrational mode of frequency ωβ follows from the statistical mechanics of a set of harmonic oscillators. as shown in Fig. for photon energies near to E g .4. and is given by n β = 1/[exp( ωβ /k B T ) − 1]. Clearly this cannot be met by the photon. It follows that photon absorption in direct bandgap materials occurs more readily than in indirect bandgap materials. many phonons can be expected to be found in the lower energy states. so phonons need not be involved. Thus. However. and there are many acoustic phonons of this type available. If the energy involved is ≥E g . where k B is Boltzmann’s constant.1. (b) on an energy-band diagram. . 3. 3. creating another electron in the conduction band. X marks the position of the impact-ionizing collision. therefore. then an electron can be ‘freed’ from the valence band. the phonons involved need not have much energy (only momentum).2 3. Another way of realizing this is to appreciate that phonons are bosons and. This is because. the fact that silicon is widely used in solar cells and photodetectors indicates that absorption can be strong in indirect bandgap materials. The energy. (a) on an E-k plot. and leaving behind a hole in the valence band.34 3 Electron and hole concentrations 〈1〉 〈1′〉 〈2′〉 EC 〈2〉 EV (a) (b) Figure 3. Thus.3 Electrical generation Generation of an electron-hole pair by the impact ionization of a lattice atom is illustrated in Fig.5 Representation of impact-ionization generation of an electron-hole pair. low-energy phonons are very numerous. interband electron transitions at energies near the bandgap need not involve any momentum change. The difference in wavevector is ≈1010 m−1 . In a direct bandgap material. for example. 3. Typical dopants used in Si devices are phosphorus and boron.3. The energy between the dopant ground state and the appropriate band edge (conduction band edge for donors and valence band edge for acceptors) is the ionization energy for the dopant. as it is a Group IV element.4 Chemical generation In chemical generation. the addition of an element from Group V could create a ‘free’ electron.1..04 eV) for the dopant atom to have a very high . electrons are confined to the lower energies of the conduction band by frequent collisions with atoms.1 Creation of electrons and holes 35 〈1〉 〈1′〉 + _ 〈2〉 〈2′〉 _ + EC EV (a) (b) Figure 3. as we discuss in Section 16. if an electron is rapidly accelerated by an applied electric field. and any impurities in the lattice.1.7) Usually. Contrarily. However. it could gain sufficient energy between collisions for impact ionization to occur.5b. 3. In Si. the incorporation of a Group III element would make the valence band deficient in electrons.6 Generation of carriers by doping: electrons from donors.e. one that is not needed for bonding purposes. Such is the case illustrated in Fig. a hole would be created. These atoms have suitably low ionization energies (≈0. as is assumed to have happened in Fig.. 3. The doping is effective in creating extra electrons or holes if the ionization energy of the dopant is sufficiently small for charge exchange between the dopant atom and the energy bands to occur. either an electron or a hole is created by the substitution of a lattice atom with an appropriate impurity atom. It is because of this electric-field origin of the kinetic energy that we give the label of “electrical generation” to this electron-hole-pair generation mechanism. momentum-balance equations are [E e 1 − E e 1 ] + [E e 2 − E h 2 ] = 0 [ ke 1 − ke 1 ] + [ ke 2 − kh 2 ] = 0 . defects. i. It can lead to avalanche breakdown. i.e. (3. The controlled addition of impurities is known as doping.6. and holes from acceptors. 3. i. A dashed line has been drawn on Fig. it is not strictly correct to represent dopants on an E-k diagram. In so doing it becomes a positive 3 4 5 Crystalline defects are not wanted as they tend to encourage recombination.3 Semiconductors doped with a few parts per million of certain impurities can have electron and hole concentrations that are markedly different from those in intrinsic material at the same temperature. You can quantify this by doing Exercise 3. (3. Thus.e. . now as large as 300 mm in diameter. It takes considerable energy to force a foreign atom into a lattice site. The result can be a reduction in E g . or perhaps overlapping with. For very high doping densities.6 the dopant placements are shown as separate. the momentum balance relation is ill-defined because crystal momentum relates to Bloch waves. to indicate their localized nature. so doping usually involves a high-temperature process. Nevertheless. energy.4 Thus.5 Suffice to say that the dopant atom is localized to an extent that allows satisfaction of any momentum-change requirements for the transfer of an electron to the conduction band. In the energy band diagram on the right of Fig. and can be several metres in length. and σ p is the standard deviation in momentum. Obviously.8) where E a is the ionization. However. An appropriate dopant is usually added to the melt so that the boule itself is extrinsic silicon. At such large separations. so they can be accommodated in the host lattice without severely disrupting the local crystallinity. these atoms have similar atomic masses to that of Si. the phosphorus atom in the example that we have been considering acts as a donor: it donates one electron to the conduction band. Additionally. which shows how the uncertainty in momentum can explain how light emission is possible from an indirect bandgap material. In silicon. (3. these procedures are performed on thin wafers. the wavefunctions of the electrons in each dopant might overlap and cause a band of energies slightly below.6a. and this periodicity doesn’t apply in the neighbourhood of a dopant. or a high-energy process such as ion-implantation [3]. so there is no corresponding energy band with a range of permissible electron energies and momenta.5. if the electron is highly localized. short lines. or activation. the dopant separation in silicon would be about 100 lattice points in any direction for a doping density of about 1017 cm−3 . which are relevant to periodic structures.9) where σx is the standard deviation in position. we have attempted to do so in Fig.36 3 Electron and hole concentrations probability of being ionized at room temperature. about 1020 cm−3 . one of the band edges of the host material. The energy balance relation is straightforward [E e 1 − E e 1 ] ≥ E a . 3. the phenomenon is known as bandgap narrowing. there must be a large uncertainty in its momentum. there is no overlap between the wavefunctions of the electrons associated with the dopants.6a to emphasize this lack of knowledge of the precise momentum. 3. which are cut from single crystal boules that are pulled from molten silicon at about 1400◦ C.. such as diffusion. Some measure of the uncertainty in momentum can be obtained from Heisenberg’s Uncertainty Principle σx σ p ≥ /2 . For example. In such cases the material is said to be extrinsic. Phosphorus and arsenic are the two donors most commonly used in silicon processing. 3.6. In GaAs.e. for example. which substitutes for gallium. overall. To make extra holes in silicon. The situation is analogous to that described for donors. a small repulsive force has to be overcome. in the following sections. so the only new conducting entity is the electron.1. it can be anticipated that not all the dopant atoms are necessarily ionized at room temperature. and the ion is stationary at normal temperatures. The boron atom is referred to as an acceptor. 3. a Group III element is introduced substitutionally. and is discussed in Section 7. the ion is not mobile at the normal operating temperatures for a transistor or diode. the boron becomes a negative ion. and a new hole appears elsewhere in the valence band. and silicon in place of arsenic. and is labelled as p-type material. for P and B in Si. However. equal to the dopant concentrations (N D and N A ) that are deliberately and precisely introduced during the wafer. the three valence electrons of this small dopant are insufficient to satisfy the full bonding requirements of the the four neighbouring silicon atoms that surround it. .or device-processing steps in the fabrication of a semiconductor device. Boron is most commonly used for this purpose. A small amount of energy is required to do this because the accepted electron is surplus to the needs of the boron atom. the electron becomes the majority carrier. in this material n > p. and the preponderance of negatively charged carriers leads to the label of n-type..4. Thus. Surface recombination is particularly relevant to solar cells. We’ll consider the first three. and the four mechanisms of relevance to the devices treated in this book are: band-to-band (or radiative) recombination. and selenium (Group VI). therefore. the concentration of donor + − and acceptor ions. In the boron case.2 Recombination Electrons that have been excited into the conduction band by any of the mechanisms just discussed will have a tendency to lose energy by ‘falling into’ holes in the valence band. We make this assumption in this book. N D and N A . Thus. In the III-V compound semiconductor GaAs. can be taken as known. Because some thermal energy is required to ‘free’ the fifth electron from the P atom and to accept a fourth electron into the vicinity of the B atom. Again. A representation of acceptor doping on energy diagrams is given in Fig. and. This deficiency in bonding can be repaired by the acceptance of a valence electron from elsewhere in the valence band. so the boron-doped material has extra positively charged current carriers in the form of holes. which substitutes for arsenic. zinc (Group II) is used in place of gallium. This process is called recombination. respectively. Note. Once the electron has been accepted.2 Recombination 37 ion. i. electrically neutral. Auger recombination and surface recombination. which are bulk-recombination mechanisms. recombination-generation-centre recombination. useful donors are silicon (Group IV). no hole is created. So the material remains. the ionization energies are sufficiently low that complete ionization is a reasonable approximation to make for the normal operating temperatures of Si devices.3. 7 for the case of a direct bandgap material.38 3 Electron and hole concentrations 〈1〉 EC 〈1′〉 EV (a) (b) Figure 3. (3. a single photon is created instead. respectively. thus Rrad = Bnp . B ≈ 10−14 cm3 s−1 . and is illustrated in Fig. 3. Phonons are not usually involved because their energies are small (see Fig. The energy lost by the electron usually creates a photon.11) . as would be required for a direct band-to-band transition in GaAs. this type of recombination is often called radiative recombination.2. and n and p are the electron and hole concentrations. and while the electron in the conduction band is waiting for 20 or more phonons to be simultaneously emitted. rather than phonons. Thus.and momentum-balance equations for the transition in direct bandgap materials are E e 1 − E e 1 = ωphoton ke 1 − ke 1 ≈ 0 .10) where B is the radiative recombination coefficient. Radiative recombination is much less likely to occur in indirect bandgap materials because of the need to involve phonons in the process in order to take up the change in crystal momentum. For a direct bandgap material such as GaAs. (3. For an indirect bandgap material such as Si. The energy. B ≈ 10−10 cm3 s−1 . which would result in heating of the lattice. The rate of recombination Rrad depends on there being both electrons and holes present.3).1 Band-to-band recombination Band-to-band recombination is the inverse of optical generation. 3. resulting in light emission.7 Band-to-band recombination. 3. 3.2 Recombination 39 〈1〉 EC 〈1′〉 EV (a) (b) Figure 3.8 RG-centre recombination. The momentum is indeterminate, as in Fig. 3.6, so the state of the recombination-generation centre cannot be identified. The second step in the recombination process can be thought of as hole capture. 3.2.2 Recombination-generation-centre recombination The recombination-generation (RG)-centre process takes advantage of the fact that crystals are rarely perfect. In practical crystalline materials, a few atoms will be missing from their regular lattice sites, and there will also be some distortion of the lattice in the vicinity of impurity atoms. Recall from Chapter 2 that perfect periodicity gives rise to energy bands and bandgaps. So, the presence of crystalline defects disturbs the periodicity of the structure and is manifest as localized energy levels within the bandgap. However, unlike the localized levels of deliberately introduced donors and acceptors, the energy levels of defects and gratuitous impurities are not confined to energies close to the band edges: they are distributed throughout the bandgap. The presence of these ‘stepping stones’ facilitates the recombination process by providing temporary (metastable) states for electrons. Each transition involves fewer phonons than would be required for bandto-band recombination in one step, and, consequently, is more likely to occur. Energy levels near to the middle of the bandgap (see Fig. 3.8) are most effective as recombination centres because the events of capture of electrons from the conduction band and of holes from the valence band are similarly probable.6 The analysis of this type of recombination event was first carried out by Hall [4] and by Shockley and Read [5], and is often referred to as Shockley-Read-Hall- or, simply, SRH-recombination. The intragap centres are usually treated as having a single (groundstate) energy level but, in reality, excited states will be present, thereby further reducing the number of phonons that have to be involved in any one transition, and, consequently, 6 Shallow energy levels, i.e., ones close to the band edges, are not so efficient recombination centres because a captured carrier is more likely to be emitted back to its host band, than it is to be emitted into the other band. 40 3 Electron and hole concentrations 〈2′〉 〈2〉 〈1〉 EC EV 〈1′〉 (a) (b) Figure 3.9 Auger recombination in an n-type semiconductor. The energy released by the transition 1 → 1 is absorbed by the electron in state 2 . This energy could also increase the energy of a hole in the valence band, but this is less likely to occur in n-type semiconductors because of the fewer number of holes. making RG-centre recombinaton even more likely to occur. For weak and moderate doping, it is the dominant recombination mechanism in Si, and the principal nonradiative recombination mechanism in direct bandgap semiconductors such as GaAs.7 The rate of recombination depends on the presence of electrons, holes and traps, as the sites of the favourable RG centres are often called. However, the rate-limiting step will be the capture of the minority carrier by the trap: the capture of the majority carrier is far more probable because of the greater number of carriers, e.g., holes in p-type material. Thus, for p-type material, for example, the recombination rate is R RG ≈ r N T n ≡ An p-type material , (3.12) where r is a temperature-dependent rate constant, N T is the concentration of traps, and A is a trap-dependent recombination coefficient, and has units of s−1 . The energy- and momentum-balance equations for this type of recombination are Ee 1 − Eh 1 = ke 1 − kh 1 = ωβ β. (3.13) 3.2.3 Auger recombination Auger recombination is illustrated in Fig. 3.9, and is the inverse of impact-ionization generation. The energy and momentum produced by the electron-hole recombination 7 Note also that these excited states will also facilitate the thermal generation of electron-hole pairs. 3.2 Recombination 41 Table 3.1 Recombination parameter values for Si and GaAs at 300 K. A, C and D as used in ATLAS, the semiconductor device simulator from Silvaco [6, p. B-8]. B from Schubert [7]. Ae (s−1 ) 1 × 107 1 × 109 Ah (s−1 ) 1 × 107 1 × 108 B (cm3 s−1 ) 3 × 10−14 2 × 10−10 C (cm6 s−1 ) 8.3 × 10−32 5 × 10−30 D (cm6 s−1 ) 1.8 × 10−31 1 × 10−31 Semiconductor Si GaAs event are transferred to either a second electron or hole. These excited carriers then lose their energy and momentum by emitting phonons. The emission process occurs readily as there are many, many available states in the bands, to which the carriers can transfer with changes in momentum and energy that are compatible with the phonon dispersion relationship. When the excited carrier is an electron, as shown in Fig. 3.9, the energy- and momentum-balance equations are Ee 1 − Eh 1 = Ee 2 − Ee 2 = ke 1 − kh 1 = ke 2 − ke 2 = ωβ β. (3.14) In the above equations, ωβ and β are the energy and momentum of each emitted phonon, respectively. This type of recombination needs to be considered when one of the carrier concentrations is very high, yet both carriers are of importance, e.g., in the emitter of solar cells, in the space-charge region of LEDs, and in the base of HBTs. In each recombination event, two carriers of one type and one carrier of the other type are involved. Thus, the recombination rate for the sum of the process shown in Fig. 3.9, and the corresponding process involving the excitation of a hole, can be written as RAug = Cn 2 p + Dp 2 n , (3.15) where C and D are Auger recombination coefficients with units of cm6 s−1 . Typical values for these coefficients, and for the other recombination coefficients are given in Table 3.1. 3.2.4 Recombination lifetime Recombination is always occurring in a semiconductor, and, at equilibrium, its rate of occurrence must exactly equal the rate of generation. The net rate of recombination out of equilibrium is given, therefore, by the difference between the non-equilibrium- and the equilibrium-recombination rates. This net rate is often denoted by U , and has units 42 3 Electron and hole concentrations of m−3 s−1 . For radiative recombination, for example, we have Urad = Bnp − Bn 0 p0 , (3.16) where the subscript ‘0’ denotes thermal equilibrium (see next chapter). Consider now the situation when n electron-hole pairs have been generated. The net recombination rate is proportional to np − n 0 p0 = (n 0 + = ≈ = = n + 2 n)( p0 + n) − n 0 p0 n( p0 + n 0 ) np0 p-type material low-level injection n) high-level injection . (3.17) n2 + np0 n( p0 + p0 ) and we In the above development, we have taken the case of p-type material (n 0 have defined two cases of recombination, depending on the magnitude of the generated carrier concentration: when n (= p) is much less than the majority carrier concentration ( p0 in this p-type example), the situation is one of low-level injection; when this is not the case, we have high-level injection. Thus, the net rate of recombination for minority carrier electrons can be written as Ue,rad ≡ n τe,rad , (3.18) where τe,rad is the electron minority carrier radiative recombination lifetime, and is given by τe,rad = = 1 Bp0 1 B( p0 + n) low-level injection high-level injection . (3.19) For recombination-generation-centre recombination the majority carrier concentration is of little consequence, so we have, for both levels of recombination, τe,RG = 1 . A (3.20) However, note from (3.12) that A depends on the density of traps, which will depend, in turn, on the dopant concentration because of the disruption to the lattice caused by the incorporation of foreign atoms. Thus, A is likely to have some dependence on dopant concentration. For Si, a simple, empirical relationship is often used for both electrons and holes [6, p. 3–81]: τe,RG = 5 × 10−7 , (1 + 2N × 10−17 ) (3.21) 3.3 Carrier concentrations 43 where N is the doping density in cm−3 . For GaAs, an empirical relationship for recombination-generation-centre recombination is [8, p. 68] τe,RG = τh,RG = 2 NA NA + 10 1 × 10 1.6 × 1029 0.693 2.54 ND ND + 5.4 × 104 1 × 1040 −1 −1 , (3.22) where the doping densities are to be expressed in cm−3 . For Auger recombination in p-type material under high-level injection conditions, the minority carrier lifetime reduces to τe,Aug = 1 C( np0 + 2n 0 p0 + n2) + 1 2 D(2 np0 + p0 + −1 n2) . (3.23) The overall, electron minority carrier lifetime is given by τe = 1 τe,rad + 1 τe,RG + 1 τe,Aug . (3.24) This lifetime is crucial to the operation of bipolar devices, such as solar cells, LEDS, and HBTs, as discussed in later chapters. 3.3 Carrier concentrations So far in this chapter we have talked about how electrons and holes are created and destroyed, and we also know that these carriers reside in states within their respective bands of allowed energies. We now derive expressions for the carrier concentrations, in preparation for their use in the next chapter. The fundamental expression for the electron concentration at some position r at some time t is n(r , t) = 1 filled states , (3.25) where is the volume over which the concentration is defined: it depends on the dimensions of the system, e.g., it has units of m3 in 3-D. To turn this sum into an integral over all momentum space k, we first need to recall from Chapter 2 that the separation between states in one dimension is 2π/L, where L is the length of the crystal in one dimension. Also, we must recognize that each momentum state in k space can contain 2 electrons, provided they are of different spin. Finally, if we are going to do an integral over all k states, some of which will be empty, we have to introduce a distribution function to account for the probability of a particular state being occupied. Doing all these things n(r , t) = 1 filled states ⇒ 1 2 (2π )i k f (r , k, t) d k , (3.26) 44 3 Electron and hole concentrations ky k + dk k 2p / L y kx 2p / Lx Figure 3.10 2-D representation of k-space spheres of radius k and k + dk. The circular shape implies equal lengths L x , L y and L z (not shown) of the crystal in real space. where i = 1, 2, or 3, is the dimensionality of the volume under consideration, and f is the distribution function. To turn this general equation into something specific, we consider the electron concentration in the 3-D, time-independent case, and, to simplify the notation, we drop the position identifier. Thus, (3.26) becomes n= 1 4π 3 f (k) d k . k (3.27) As we expect the electrons to fill-up states from the origin of k out to higher values of momentum, and, as the number of available states is huge, we can approximate the surface of the actual volume of k-space being filled as that of a sphere of radius k (see 2 2 Fig. 3.10), where k = |k| = k x + k 2 + k z . y More formally, the simplification we are making is that the distribution function f depends only on the magnitude of k, i.e., in spherical coordinates n= 1 4π 3 ∞ 0 0 π 0 2π f (k)k 2 sin θ dφ dθ dk . (3.28) In either case the result is n= 1 4π 3 k2 , π2 f (k)4π k 2 dk k n(k) = g(k) f (k) g(k) = (3.29) where g(k) is the density of states in k-space. In this particular case, g(k) is quoted for 3-dimensional real space, and has units of m−3 [k]−1 ≡ m−2 . 3.3 Carrier concentrations 45 Often it is convenient to express the density of states with respect to energy, rather than with respect to wavenumber; in either case, the total number of states must be the same, e.g., ∞ 0 g(E − E C ) d(E − E C ) = 0 ∞ g(k) dk , (3.30) where g(E − E C ), in the 3-D case, has units of m−3 J−1 . To proceed further, we need a tractable expression for the dispersion relationship; this is where the parabolic-band approximation of Section 2.9.3 is helpful, namely E − EC = ≡ 2 2 k2 k2 kx y + ∗ + z∗ m∗ my mz x 2 2 k2 m∗ e,DOS 2 , (3.31) where m ∗ e,DOS is the density-of-states effective mass for electrons. For materials such as GaAs, for which the constant-energy surfaces are spherical for electrons, m ∗ e,DOS is simply the parabolic-band effective mass. For Si the situation is a little more complicated, as described in the next section. Differentiating (3.31), d(E − E C ) in (3.30) can be written in terms of dk. The integrands of each side of (3.30) can then be equated, which gives √ 8π 2 ∗ (m e,DOS )3/2 (E − E C )1/2 for E ≥ E C gC (E − E C ) = h3 √ 8π 2 ∗ gV (E V − E) = (m h,DOS )3/2 (E V − E)1/2 for E ≤ E V , (3.32) h3 where we have added the analogous expression for the density of states in the valence band gV (E) in terms of the density-of-states effective mass for holes m ∗ h,DOS . More and m ∗ are given in the next section. Meanwhile, please note that details on m ∗ h,DOS e,DOS the densities of states in 3-D real-space increase parabolically with energy away from the band edges. Using (3.32) in (3.30) and (3.29), the electron and hole concentrations (per unit volume) can be written as n= p= gC (E) f (E) d E gV (E)[1 − f (E)] d E , (3.33) where the integrals are over the conduction and valence bands, respectively. To complete the calculation of the carrier concentrations we need to know the distribution function f (E). Under the non-equilibrium operating conditions of a semiconductor device this is not an easy task (see Section 5.2). However, the distribution function under equilibrium conditions is well-known, and is presented in Section 4.2. 13).1 Density-of-states effective masses in silicon Electrons In silicon.2.32)).34) where m l∗ and m ∗ are the longitudinal and transverse parabolic-band effective masses.59 0.46 3 Electron and hole concentrations Table 3. as Fig.2.4. The estimated values at 300 K are from Pierret [9]. and a degeneracy at the extremum (see Fig. Thus. 2. 2.2 Holes In both Si and GaAs. the density-of-states effective mass for holes in Si and GaAs is m∗ h.DOS = 6 t 1/3 . (3.1 in the equations given in this chapter.81 0. as described by E − E C0 = 2 2 2 k 2 + kz kx y . spherical band involves an effective mass raised to the power 3/2 (see (3. In fact.DOS at 4 K (m 0 ) 0. there is a band of light holes and a band of heavy holes.9).1.DOS = m hh ∗ 3/2 + m lh ∗ 3/2 2/3 . but are often approximated as true spheres in order ∗ to obtain values for the effective masses for light holes m lh and heavy holes m ∗ listed hh in Table 2.18 0. .4. (3.06 0.DOS at 300 K (m 0 ) 1. The result is 2/3 m l∗ m ∗2 m∗ e. + m l∗ m∗ t 2 (3.12 shows. as there are this number of equivalent volumes in Si. The density of states for a single. 2.4 3.7. 3. The values at 4 K come from using the parabolic-band effective masses from Table 2.DOS at 300 K (m 0 ) 0.52 Semiconductor Si GaAs 3.067 m∗ h.53 m∗ e. for which a single effective mass would apply. and you can discover it for yourself by doing Exercise 3. m∗ e.35) The value for Si is given in Table 3.36) Values for Si and GaAs are listed in Table 3. The constant energy surfaces for each band are warped spheres (see Fig. the constant energy surface for energies close to the bottom of the conduction band is a prolate spheroid (see Fig.DOS at 4 K (m 0 ) 1. The density-of-states effective mass in this case is found by specifying the spherical volume. t respectively.066 m∗ h. 2. and that would contain the same volume of states as the prolate spheroid.12). The procedure is well known [9].2 Density-of-states effective masses for Si and GaAs. the equality must be with 6 prolate spheroids. respectively. 3.5 to understand why Auger recombination is important in the emitter of silicon solar cells. would enable the generation of an electron-hole pair.545 nm. type. recombination-generation-centre. The lattice constant for GaP is 0. that. .35) for the density-of-states effective mass for electrons in Si.5 One exception to the general rule of LEDs requiring direct-bandgap materials is the green GaP LED that used to be widely employed in backlighting (on telephone keys. energy and momentum. for silicon. Light emission comes from transitions involving optically active impurities. Re-draw Fig.9 shows an Auger recombination event involving two electrons and one hole.32).8 Plot the densities-of-states expressions in (3.and Augerrecombination against excess carrier concentration. in units of states cm−3 eV−1 . with energy on the y-axis. A and B.4 Efficient LEDs and laser diodes are made from direct-bandgap semiconducting materials.2 Fig. 3. Which material would have the higher intrinsic carrier concentration? 3.9a and Fig. Determine the excess-carrier concentration at which Auger recombination becomes dominant. 3.7 = αk 2 and E B − 1.3 Obtain an appreciation of the rates of hole recombination in n-type silicon by plotting the rates for radiative-. Does your answer make you appreciate why the intrinsic carrier concentration in silicon is so low compared to the total number of electrons in the valence band? 3. 3. for example). Why is this so? 3. Make use of the Heisenberg Uncertainty Principle ((3.4 = 2α(k − k )2 .9b for the case of an Auger recombination event involving one electron and two holes.Exercises 47 Exercises 3. listing their number.6 The E-k relationships for the conduction bands of two semiconductor materials. . The electron wavefunctions associated with these impurities are localized within a region x of real space.9)) to obtain an estimate of x. GaP is an indirect-bandgap material with its conduction-band minimum occuring at the X-point. can be expressed as E A − 0. such as oxygen or nitrogen. photodetectors that are sensitive to radiation at the bandgap energy can be made from indirect-bandgap materials. 3. State the values for the densities of states in each band. at an energy of 3 k B T away from each extremum.7 Derive (3. Compare this value with the optical generation rate in Fig. each with spherical constant-energy surfaces. with the top of the valence band at E = 0 and k = 0. where α is a constant. 7. k > 0 and the energies are in units of eV Both materials have the same valence-band structure. On the other hand. This energy is the 2 mean kinetic energy of carriers in an equilibrium distribution at low and moderate doping densities. 3.1 Suggest a combination of phonons. 3. when simultaneously absorbed in silicon. pp. Read. 1999.. and G. Physical Properties of Semiconductors. Shockley and W. 7 and 8. Practice and Modeling. Davies. Stillman. 177–182.M. [2] J. Silvaco Data Systems. p. p.T.. Hall. [5] W. 1989. 4. Jr. N. Pierret. Addison-Wesley. 2nd Edn. Holonyak. [6] ATLAS Users Manual. 1952. 387. Rev. p. 58. Griffin. Phys. 87. [3] J. M. Schubert. 2008. Cambridge University Press. Prentice-Hall. [8] W. Deal and P. 1952. 53. Chap. Prentice-Hall.E. 87. Santa Clara. 2006. Plummer. Liu.F. 2000. Advanced Semiconductor Fundamentals. Chaps. Jr. Fundamentals of III-V Devices: HBTs. and HFETs/HEMTs.H. vol.N. Wolfe. Electron-hole Recombination in Germanium.B.. Light-emitting Diodes.D. The Physics of Low-dimensional Semiconductors. . John Wiley & Sons Inc. vol. 75. Statistics of the Recombination of Holes and Electrons.D. MESFETs.48 3 Electron and hole concentrations References [1] C. [4] R. [7] E. Silicon VLSI Technology: Fundamentals.. 835–842. 1998. 1987. [9] R. June 11. Rev..F. Cambridge University Press. Phys. and finish by considering the mean thermal velocity associated with an equilibrium distribution of electrons.9.. 4. so it must be returned to the lattice on recombination. If we 1 You might like to ponder on how heat is eventually returned to the lattice after a radiative recombination event. 4. furthermore..4 Thermal equilibrium Thermal equilibrium in a semiconductor refers to the state when the temperature is uniform and has been steady for a long time. In this chapter. RG centre. Obviously. as befitting particle-particle interactions.and recombination-rates must be zero. the net sum of these various generation. along with one or all of the following recombination mechanisms: radiative. and conjures up visions of physical contact.1 Collisions In the previous chapter. However. for thermal equilibrium to be maintained. it turns out that parts of a device often remain in a state very close to thermal equilibrium and. ions. The last property is a further step towards developing an understanding of current in diodes and transistors. no applied electric field nor optical irradiation.e. As hinted at in Section 2.1. The word ‘collision’ is familiar. and when there are no sources of energy other than heat. Under thermalequilibrium conditions. knowledge of the carrier concentrations in thermal equilibrium is often a good starting point for understanding how a device works. semiconductor devices will not be in thermal equilibrium when they are in operation. then we introduce the thermal-equilibrium distribution function. we showed how the processes of recombination and generation alter the carrier concentrations in the conduction and valence bands. we briefly discuss the collision processes that tend to randomize the momenta of excited electrons and holes. Auger. The situation is crudely illustrated in Fig.g. e. Obviously. this is achieved by the carriers continually making collisions with atoms. develop some useful expressions for the carrier concentrations in equilibrium. . the thermally activated band-to-band and chemical generation processes are operative. defects and other carriers. as heat is taken from the device to create an electron-hole pair.1 The other dynamical aspect of thermal equilibrium is the maintenance of the carriers in their lowest energy states within each band. i. the strain may generate a potential via the piezoelectric effect. if the perturbations are small.. In doped semiconductors at higher temperatures. i. leading to piezoelectric scattering. and two electron-ion (P+ and B− ) deflections. an ion or another electron. the eigenvalues will also change. as the atoms vibrate about their mean positions. Section 6. they can screen other carriers from the attractive or repulsive effect of the impurity ion’s charge. were to take the wave viewpoint of an electron. 3. To get away from the particle-oriented ‘collision’. the state of the electron will change on interacting with a vibrating atom. for example. [1. 3. the electron scatters to a new state on interacting with an atom. as is the case in the formation of compound semiconductors such as GaAs. when the thermal vibrations of the atoms are small.e. electric fields are created and we have polar optic phonon scattering. but with different values to those for a stationary.6] and in References [2. leading to deformation potential scattering. At low temperatures. i. Longitudinal acoustic phonons. This is the dominant scattering mechanism in GaAs at room temperature. one electron-electron near-‘head-on’ collision. leading to screened ionized-impurity scattering. the periodicity of the lattice is disturbed.2c). such as GaAs. Briefly. Thus. would refer to the interaction of the electron wave with a phonon. Thus the potential in the system can no longer be considered as being exactly periodic. carrier-carrier scattering becomes important. If the concentration of carriers is extremely large. the word scattering is often used. 3]. for example. the resulting strain deforms the band edges. the electron wavefunctions can still be considered to be Bloch wavefunctions. Si and GaAs both have two atoms per unit cell. When these atoms move in opposite directions. optic phonons are generated (see Fig.1 Very simple schematic representation of collisions: two electron-atom (Si) glancing interactions. When the two atoms become ions due to electron exchange. ionizedimpurity scattering tends to be the dominant scattering mechanism. with an amplitude that depends on the temperature..2b). alternately compress and dilate the lattice (see Fig. If there are many carriers present. .50 4 Thermal equilibrium Si Si Si e− P+ e− Si x B− Figure 4. periodic lattice.e. phonon scattering dominates. a collision between an electron and a lattice atom. However. More details on scattering are given in Wolfe et al. In semiconductors for which there is no crystal inversion symmetry. if the eigenfunctions change. Sections 4. electron-electron) that are instrumental in maintaining thermal equilibrium. we don’t treat scattering at the microscopic level. (4. dividing by f (E 1 ) f (E 2 ) f (E 1 ) f (E 2 ). elsewhere in the semiconductor a collision must be occurring.2 The Fermi level 51 In this book.1 :2. Calling the probability of occupancy f (E). Let two electrons have energies E 1 and E 2 before a collision and energies E 1 and E 2 after the collision.1) For this collision to happen there must be filled states at energies E 1 and E 2 . we allow all such details to be absorbed into a macroscopic quantity called mobility. and is a recognition of Pauli’s Exclusion Principle.2 = C f (E 1 ) f (E 2 )[1 − f (E 1 )][1 − f (E 2 )] .e. as described in Chapter 5.2) and (4. For this collision to occur there must be filled electron states at energies E 1 and E 2 . Here.4) (4. no two electrons may exist in the same quantum state at the same time. with the result that the new energies of these electrons are E 1 and E 2 . 4.1. i. at the same rate as the above collision. and empty states at E 1 and E 2 . No energy is lost to other processes in an elastic collision. they serve to randomize the carrier velocities. the bands contain certain numbers of electrons and holes. Instead.3) The solution to this equation. is 1 − 1 = Aeβ E . we end up with 1 −1 f (E 1 ) 1 −1 = f (E 2 ) 1 −1 f (E 1 ) 1 −1 . f (E) (4.1:2 .2].. and the velocities of these carriers are randomized: there is a lot of motion but no net current. So. No matter how you view the interactions between the carriers and other entities in the crystal. Equating (4. so [E 1 − E 1 ] + [E 2 − E 2 ] = 0 .3). This leads to r1 .5) . (4. as can be verified by substitution. 4. involving electrons with energies E 1 and E 2 .2 = C f (E 1 ) f (E 2 )[1 − f (E 1 )][1 − f (E 2 )] .4. The latter requirement is crucial to our argument. f (E 2 ) (4.2) where C is the rate constant.2 The Fermi level The problem of finding the most probable distribution of electrons among the available states in the conduction and valence bands is a problem in statistical mechanics [1. in equilibrium. we have for the rate of this collision r1. To maintain thermal equilibrium. we follow Talley and Daugherty [4] and arrive at the desired distribution function in a relatively non-mathematical way by considering one of the types of collisions (elastic. and empty states at E 1 and E 2 . the Fermi level. i..7). in such a material. in the subject of semiconductor devices. consider some large energy E such that there is very little chance of quantum states at this energy being filled by electrons. for which it is well-known from Thermal Physics that the probability that a molecule has an energy E is ∝ exp(−E/k B T ). (4. Clearly. where E F is called. f (E) ≈ 1 exp(−β E) A 1. from (4. the electrons are essentially non-interacting. the Fermi level is higher in energy for n-type material than it is for p-type material. and for the states with the lowest energy to be the ones most likely to be occupied. 4. Exactly how much higher depends on the relative doping levels. of course. i.52 4 Thermal equilibrium where A and β are constants to be determined. i. A similar situation pertains to gas molecules in an ideal gas. it follows that the Fermi level must be raised.2. (4.8) This is the Fermi-Dirac distribution function. as we would expect from having invoked the Pauli Exclusion Principle in its derivation. To identify β. given by 1/k B T . In n-type semiconductor material there are many electrons that must be accommodated in the conduction band by the filling-up of states. At higher temperatures it is properly called the chemical potential (see Section 6.6). states will be filled-up to higher energies than in the case of p-type material. E F is called the Fermi level only at T = 0 K. the electron distribution function under conditions of thermal equilibrium is f F D (E) = 1 .e. Note that the probability of occupancy of states with energy E falls off rapidly as E exceeds E F .e.e.7) In such a situation there’s very little chance of two electrons vying to occupy the same state. We can also refer to f F D (E) as the probability of an electron occupying a state of energy E at thermal equilibrium because it varies in value from 0 to 1. 1 + exp[(E − E F )/k B T ] (4.. Thus β can be seen to be a universal constant. For higher energy states to have a higher probability of being filled. the form of which is illustrated in Fig. where T is the absolute temperature and k B = R/N is Boltzmann’s constant. R is the gas constant and N is Avogadro’s number. This link between E F and the equilibrium electron concentration n 0 is established in the next section. 2 In Physics. It remains to label the constant A in (4.. in which there are relatively few electrons.6) where we realize that both constants must be positive for f (E) to be not greater than 1.1).2 Thus. Thus. f (E) = [1 + Aeβ E ]−1 . it is defined as exp(−E F /k B T ). . and f F D (E) is the Fermi-Dirac distribution function.2 0. respectively. or close to one of the band edges. To actually evaluate the carrier-concentration integrals it is convenient to assign the limits of +∞ and −∞ to the top of the conduction band and to the bottom of the valence band.2 The Fermi-Dirac distribution function for various temperatures.8 1.3 Equilibrium carrier concentrations and the Fermi level Adding bounds to the bands. 4. Reproduced from Pulfrey and Tarr [5. Fig.33) for the equilibrium concentrations of electrons and holes becomes n0 = p0 = top of band gC (E) f F D (E) d E EC EV bottom of band gV (E)[1 − f F D (E)] d E .2]. 4.9) where the subscript ‘0’ indicates equilibrium.4.0 f (E ) 20 −20 −40 −60 −80 −100 −120 Figure 4. (4.3 Equilibrium carrier concentrations and the Fermi level 53 120 100 80 60 Temperature (K) 40 500 300 100 0 0.6 E − EF (meV) 0 0 0. so f F D (E) rapidly goes to zero for energies high in . (3.4 0. This is not likely to cause significant error in the evaluation of the integrals because it turns out that E F in semiconductors is usually either in the bandgap. 14) is seen to be (E C − E F > 2k B T ). to doping densities of about 1019 cm−3 and 1017 cm−3 in Si and GaAs. which has the units of m−3 for a 3-D device and is termed the effective density of states in the conduction band. respectively. given by 2 F1/2 (a F ) = √ π ∞ 0 a 1/2 da . given by NC = 2 2π m ∗ e.e. (4.10) where all the material-constant terms have been collected together into NC . the corresponding effective density of states in the valence band. under conditions such that .2 × 1019 4.2 × 1017 NV (cm−3 ) 1.2. The result from (4.14) is known as the Maxwell-Boltzmann expression for the equilibrium electron concentration.14) (4.4. Equation (4.5 × 109 2. The limit of validity of (4.DOS k B T h2 3/2 .4 × 106 Semiconductor Si GaAs the conduction band. Fermi-Dirac integrals are listed as tabulated functions [1.10) to be written concisely as n 0 = NC exp E F − EC kB T .1 Effective densities-of-states and intrinsic carrier concentrations for Si and GaAs. The corresponding equation for holes.10) in Fig. 4.11) and F1/2 (a F ) is called the Fermi-Dirac integral of order one-half. The values are for T = 300 K and have been computed using the density-of-states effective masses for this temperature from Table 3. as does [1 − f F D (E)] for energies low in the valence band.3. (4.1. It is compared with the full Fermi-Dirac expression of (4.8 × 1019 9.4 × 1018 ni (cm−3 ) 9. are tabulated in Table 4.. NC (cm−3 ) 3. 1 + exp(a − a F ) (4.12) where a = (E − E C )/k B T and a F = (E F − E C )/k B T . Appendix B]. and formulae exist for their approximate evaluation [6]. (4.9) for electrons is n 0 = NC F1/2 (a F ) . this corresponds to n 0 /NC < 0. i. which then enables (4.54 4 Thermal equilibrium Table 4.13) Values for NC and for N V . A very convenient approximation to F1/2 (a F ) arises if a F < −2: F1/2 (a F ) → exp(a F ) . Reproduced from Pulfrey and Tarr [5. the inclusion of E F on an energy-band diagram provides a convenient visual aid for ascertaining at a glance whether a region is doped n-type or p-type. 4. the situation where the quantum states in the band can be filled without regard to Pauli’s Exclusion Principle.16) Physically. E F will penetrate higher into the conduction band than predicted by Maxwell-Boltzmann statistics. this is the case for n 0 /NC < 0. a Maxwell-Boltzmann distribution function represents. namely f M B (E) = e − (E−E F ) kB T .4. the material is said to be degenerate.e. if p0 is increased by adding more acceptors. (E F − E V ) > 2k B T is p0 = N V exp EV − E F kB T .4.3]. .3 Comparison of the Fermi-Dirac ((4.3 Equilibrium carrier concentrations and the Fermi level 55 10 Exact Fermi-Dirac relation 5 EF − EC Conduction band kB T 0 Maxwell-Boltzmann approximation −5 Band gap −10 10 −4 10 −3 10 −2 10 −1 n0 NC 1 10 Figure 4. Evidently. Fig. (4.10)) and Maxwell-Boltzmann ((4. as we have just seen. (4.14)) expressions for n 0 . for example.14) and (4. 4. The Maxwell-Boltzmann expressions (4. (4. In this circumstance. i.14) informs that E F will move closer to the conduction-band edge. for example. is far less than the number of available states in the conduction band.. and it also gives some indication of the extent of the majority carrier concentration. This can only be a reasonable approximation when the number of electrons. (4. When the doping density is such that this condition does not hold.3 shows. as Fig. Thus. when the likelihood of more than one electron occupying the same state is very small. Fermi-Dirac statistics should be used. in the case of semiconductors.15) are so-named because their exponential dependence on energy and on the reciprocal of k B T is characteristic of the eponymous distribution function.15) informs that E F will move closer to the valence-band edge.15) The Maxwell-Boltzmann expressions are instructive: if n 0 is increased by adding more donors. and substituting for the densities of states gives E Fi − E V = m∗ Eg 3k B T h.15) are not correct when the doping is very high. (4. y.15) is independent of the Fermi energy. For intrinsic material.2.16) to appreciate the doping-density limitation to (4. (4. z)] d x d y dz = 0. are generated together.20). 3 (4. y. as hinted at in Section 2. Note that the product of (4.15) apply. or in the body of a MOSFET (see Exercise 4. gives ni = (NC N V ) exp −E g 2k B T . . they only apply to non-degenerate conditions. Check the text following (4.18) where E g = (E C − E V ) is the bandgap of the semiconductor. and that the intrinsic carrier concentration drops drastically as the bandgap increases. (4. but in steady-state operation. field-free region of a semiconductor. Multiplying n i by pi . y.DOS (4.21) More generally. In these circumstances it follows that local charge neutrality exists. For Si. electrons and holes. as one charge enters the device. i.14) and (4. equilibrium values.3). it is useful to express the carrier concentrations in terms of their intrinsic. Equating these expressions. The extent of the departure of the product n 0 p0 from the value of n i2 at high doping levels can be appreciated by doing Exercise 4. electrons and holes recombine in pairs.56 4 Thermal equilibrium 4. z) − N A (x. y. it follows that E Fi lies almost at the middle of the bandgap.14) and (4.17) where E Fi is the Fermi energy for intrinsic material. where E g kB T and the electron and hole density-of-states effective masses are not too dissimilar.3 namely q( p0 − n 0 + N D − N A ) = 0 . z) + N D (x. if there is a steady-state current..g. One example of the use of (4. (4. It follows that n 0 p0 = n i pi = n i2 . + ln 2 4 m∗ e. the semiconductor device will be overall neutral: volume q [ p(x. e.DOS . or charge carriers and oppositely ionized dopants.4 Equations involving intrinsic properties Often.19) This equation shows that n i is a temperature-dependent material constant. in the bulk of the emitter of a diode or an HBT.14) and (4. out-of-equilibrium. remember that (4. and they give n i = NC exp pi = N V exp E Fi − E C kB T E V − E Fi kB T .20) However. and noting that n i = pi . another must leave it. This can be appreciated from the facts that: the semiconductor starts out neutral. z) − n(x.e.20) is in the computation of the equilibrium carrier concentration in a uniform..7 when discussing the difference between semiconductors and insulators. NC (4. equivalently. However. The distribution on the right is that for the electrons with positive crystal momentum + ke .4.21) yields n0 = X+ X 2 + 4n i2 2 .20). if the conditions in a device were near-equilibrium. (4. or.5 Mean unidirectional velocity of an equilibrium distribution The equilibrium spectral carrier concentration for electrons from (3. Each half of the distribution contains exactly n 0 /2 electrons. there is no net current.33) is n 0 (E) = gC (E) f 0 (E) .17) to give alternative expressions for n 0 and p0 : n 0 = n i exp p0 = n i exp E F − E Fi kB T E Fi − E F kB T .24) This expression can be evaluated easily when f 0 is expressed as a Maxwell-Boltzmann distribution: f M B (E) = e − − E−E F kB T E−E C +E C −E F kB T ≡e n 0 − E−ETC = e kB . please note that these expressions only hold for non-degenerate conditions.14) and (4. (4. while the one on the left is its oppositely directed counterpart. due to a non-uniform 4 If Fermi-Dirac statistics had been used. with a velocity component in the positive x-direction.15) with (4. where the distribution has been split-up into two parts. each half of the distribution would be a hemi-Fermi-Diracian. The carrier concentrations can be expressed in terms of the intrinsic carrier concentration by combining each of (4.23) Again. for example. . and is called a hemi-Maxwellian in this case.5 Mean unidirectional velocity of an equilibrium distribution 57 In conjunction with (4.4. (4. but the distributions were slightly different in neighbouring locations. as must be the case at equilibrium. the flow of electrons in the positive-going distribution will be opposed by the flow of negative-going electrons from a neighbouring distribution. 4. 4. (4.22) where X = N D − N A . so.4 At any plane in the material.25) The result for the case of n 0 = 1019 cm−3 is shown in Fig. 26) where n 0 (v) is the velocity-spectral concentration of electrons at equilibrium. and then make the transformation from an energy distribution to a velocity distribution via the kinetic-energy expression (2. counter-propagating hemi-Maxwellian distributions. To obtain this. comprising two.2 0. The denominator is just the total electron concentration. for the equilibrium case of n 0 = 1019 cm−3 .28) . doping density.10).8). The result is n 0 (v) = ∗ 3/2 2 (m ∗ v 8π e. This is an example of diffusion.1 0. i.58 4 Thermal equilibrium 0. 2 th (4.27) where m ∗ is an appropriate effective mass.32) and for the Fermi-Dirac function from (4. To do so. it is useful to compute the current due to each of these hemidistributions. ∗ 2 h 3 1 + exp[m th v /2k B T ] exp[(E C − E F )/k B T ] (4.05 0 1 0. take n(E) = gC (E) f 0 (E). and there would be a current. then the opposing charge flows at an intermediate plane would not cancel.5 0 0. for example. which will be identified in the following th subsection.e.5 −1 −3 gC (E )fMB (E ) (eV cm /1020 ) 1 Figure 4. the number of electrons per m3 per unit velocity.. (4. as given by (4. Thus. The mean speed of electrons in a distribution at thermal equilibrium is defined as vth = ∞ 0 vn 0 (v) dv ∞ 0 n 0 (v) dv . we must first determine the mean speed of the electrons in the total distribution.4 The full Maxwellian distribution. To deal with the numerator we need an expression for n 0 (v). Other examples where the current due to a near-equilibrium hemi-Maxwellian or hemi-FermiDiracian might arise are in the injection of carriers into the base of an HBT or into the channel of a FET.15 E – E (eV) C 0.41): (E − E C ) = 1 ∗ 2 m v . substitute for the density of states from (3.DOS m th ) . the ratio of the two integrals is essentially unity. . Fig.29) where. as shown in Fig. However. Integrating from 0 to π/2 completes the calculation of the mean. Reproduced from Pulfrey and Tarr [5. we divide by 4π vth .5 A ‘velocity-sphere’ approach to computing the average x-directed velocity. As the electron velocities are randomly distributed in direction. 8.26). then the average velocity component. 4. the number of electrons with velocities in the range θ to θ + dθ is related to the area of a strip of length 2π vth sin θ and of width vth dθ . integrating. To express this as a fraction of the total number of θdθ 2 electrons. Each stream of electrons has a velocity component vth cos θ in the x-direction.5 Mean unidirectional velocity of an equilibrium distribution 59 dq q vth X Figure 4. Note that for non-degenerate cases. and the final result has been th cast in the form of a material-dependent constant and a ratio of Fermi-Dirac integrals.12). is found to be vth /4. the substitutions a = (E − E C )/k B T = m ∗ v 2 /2k B T and a F = (E F − E C )/k B T have been made. to get sin 2 . The radius vth is the mean thermal speed. the result for the mean thermal speed from (4. πm ∗ F1/2 th (4. unidirectional velocity.5]. vx for example.5.26) is vth = ≡ = ∞ 0 ∞ 0 v 3 1 + exp(m ∗ v 2 /2k B T + (E C − E F )/k B T ) th v2 1 + ∞ 0 −1 −1 dv dv exp(m ∗ v 2 /2k B T th + (E C − E F )/k B T ) m ∗ /2k B T da th ∞ 0 a [1 + exp(a − a F )]−1 da a 1/2 [1 + exp(a − a F )]−1 8k B T F1 . if all the random velocities are resolved along a particular direction. following the notation of (4. As no one-particular direction is favoured in thermal equilibrium. Substituting this into the numerator of (4. The resulting vth is to be understood as the speed (magnitude of the velocity) of an electron stream in any particular direction. as explained in the figure caption. the electron motion is random.4. and dividing by n 0 . 1 Effective mass and v R The effective mass in (4. Suffice to say here. Richardson.26 n0.60 4 Thermal equilibrium Table 4.39 0. An effective mass that takes this anisotropy into account is the conductivity effective mass.4 we derive this parameter.2 Mean.CON (m 0 ) 0. th CON (4.0 1. is n 0 v R . 2.5 Thus.1 4. [6]. m∗ h. vR = k B T F1 . m th is simply the band effective mass.5. (cm−3 ) 1 × 1018 1 × 1019 1 × 1020 1 × 1018 1 × 1019 1 × 1020 F1 /F1/2 1. unidirectional thermal velocities for electrons at 300 K in Si and GaAs. . Nobel laureate for physics in 1928 for ‘his work on the thermionic phenomenon and especially for the discovery of the law named after him’.30) must be related in some way to the unidirectional motion of electrons. for a specific uni-direction within this set.31) With this interpretation of m ∗ .2 Current and v R Now that we know the concentration of carriers and their mean.4. Thus.066 This mean. For spherical constant-energy surfaces and a single band.40 m∗ e.3 1.CON (m 0 ) 0.30) 4.5. This is the case for electrons near the conduction-band minimum in GaAs. m ∗ .12). In Section 5.0 1. as this makes it explicit that only 5 The subscript R comes from Sir Owen W. non-interacting spherical constant-energy surfaces. some values of v R for electrons in Si and GaAs are th as given in Table 4. 2π m ∗ F1/2 th (4. and m ∗ applies to the t other four. For the ellipsoidal constant-energy surfaces applicable to Si. The conductivity effective-masses for electrons and holes are from the expressions given in Section 5. and for holes and two. both for electrons and CON ellipsoidal constant-energy surfaces. we take m∗ ≡ m∗ . 4.2 2. m l∗ applies to two of the ellipsoids. A more instructive way of writing this is n20 2v R .5 v R. unidirectional velocity. the 6 equivalent conduction-band minima lie along the 100 directions (see Fig. unidirectional velocity is written as v R . we can appreciate that the average flux of electrons in the x-direction.e (nm/ps) 53 53 69 125 218 468 Semiconductor Si GaAs 0.2. for example. for various doping densities. The Fermi-Dirac integrals were evaluated using the short-series approximations given in Ref. 6 The E-k relationships for the conduction bands of two semiconductor materials. Exercises 4. 1018 cm−3 . 4.and left-going hemi-distributions are Je. Suggest what this term may mean. but they cancel each other exactly. 4. rather than by that of a sphere.3 A diffused-junction n-p diode is made by diffusing phosphorus into boron-doped silicon.← n0 ˆ 2v R x 2 n0 ˆ = −q (2v R )(−x) . (a) Calculate the equilibrium carrier concentrations. (E F − E V ).1 Confirm the symmetry of the Fermi-Dirac distribution function about E F by showing that the probability of a state of energy E above E F being occupied is the same as the probability of a state of energy E below E F being empty. where the intrinsic carrier concentration is given by (4. is it reasonable to assume that E Fi − E V ≈ E g /2? 4. Evidently. p0 . E A − E C = αk 2 . and the Fermi-level position.19). (b) Determine the validity of p0 n 0 = n i2 . i.5 Taking into account the temperature dependence of the effective density of states NC and N V . but ignoring the slight reduction in bandgap with temperature. for example). at what temperature does n i in Si reach the value of a typical doping density. 4. Thus. Formally. The concentrations of both dopants can be taken to be uniform.→ = −q Je. 4. and to have values of N A = 1016 cm−3 and N D = 1017 cm−3 .2 Consider p-type Si for 3 different cases of boron doping. say 1017 cm−3 ? 4. In GaAs at this temperature. A and B. the current densities due to the right.. Evaluate the equilibrium carrier concentrations in the diffused region at 300 K. comprising n 0 /2 electrons and illustrated in Fig. 1016 cm−3 .4. and 1020 cm−3 . for each case at 300K. (c) The reason why this equation is not satisfied at high doping densities is sometimes referred to as ‘Pauli blocking’. 2 (4. 4.4 Some semiconductor devices are rated to operate at temperatures of about 200◦ C. For the majority carrier concentration use Fermi-Dirac statistics.Exercises 61 one-half of the electrons in the distribution actually have a component of velocity in the positive x-direction.32) Each component can be of enormous magnitude (≈ 8 × 106 A/cm2 for n 0 = 1019 cm−3 in Si. the mean unidirectional velocity is 2v R . can be expressed as and E B − E C = 2αk 2 . this fact follows from the construction in Fig.e.5 by simply dividing by the area of a hemisphere. as required of an equilibrium distribution. n 0 . for each of the counter-directed hemi-distributions. where α is a constant. Talley and D. 8.1–8. Consider each material to be moderately doped n-type. posted Sept. N.E. 1989. 3rd Edn.4. all of which can be taken to be ionized.G. Secs. Jr. 4. Physical Principles of Semiconductor Devices. Lundstrom. and E C is the energy of the bottom of the conduction band. Notes on Fermi-Dirac Integrals. Pulfrey and N. Cambridge University Press.S. Physical Properties of Semiconductors. 1989. Davies. Chap. Prentice-Hall. References [1] C. [4] H. 1998. Stillman.62 4 Thermal equilibrium respectively. Holonyak. [5] D.M. Which material would have its Fermi energy closer to E C ? 4. Lundstrom. Iowa State University Press. compute the mean.G. Wolfe. 2008.H..L. unidirectional th velocity for holes at 300 K when the p-type doping density is: (a) 1018 cm−3 . . and G. Prentice-Hall. Online [http://nanohub.org/resources/5475/].h calculated in the previous question for the case of p0 = 1018 cm−3 to evaluate the current density due to a hemi-Maxwellian distribution of these holes. [3] M.S. 5. Kim and M. 1976.E. The Physics of Low-dimensional Semiconductors. Daugherty. 2000. with the same concentration of donors. and (b) 1020 cm−3 . Tarr. Chap. 2. [6] R.8 Use the value of v R.7 Given that m ∗ = 0. 23.40m 0 for holes in silicon. Fundamentals of Carrier Transport. Introduction to Microelectronic Devices. Cambridge University Press. [2] J. as briefly discussed in Chapter 18. The starting point in all three cases is the expression for carrier density n. is dissipated by scattering events. and obtain a non-zero net flow of charge. attributes a velocity to each occupied state. current and energy Charge. we assume parabolic bands with an isotropic effective mass. but there is no net current. and they are also frequently scattered to new momentum states via collisions with vibrating atoms. Multiplying this equation by −q gives an expression for the electron charge density: 1 f (k) d k . but ballistic transport does occur in tunnelling. where vx = (1/ )d E/dk x is the x-directed velocity. 5. If each of the n electrons per m3 has a kinetic energy u.27).1) −qn = −q 3 4π k Multiplying (3. Gradients in both n and u can produce a current. for example. Here. To keep things simple. In thermal equilibrium large fluxes are present.27) by −qvx . dissipative transport is more prominent.e. one in which the directed momentum of electrons injected into a region from a hemi-Maxwellian distribution. The carriers are continually being generated and annihilated. and as a gradient in kinetic energy. In most of the devices considered in this book. Fundamentally. for example. the sum of which leads to the x-directed . The above picture is one of dissipative transport. current and energy figure prominently in this chapter. and is likely to occur in future nanoscale devices. and write the expressions for the densities of current and kinetic energy in terms of only the x-directed component. ionized impurities and other carriers. we need to establish some driving force within the semiconductor. this driving force is a gradient in energy.. (5.5 Charge transport The picture of charge carriers that should have emerged so far is one of electrons and holes moving through the semiconductor in momentum states. we give the fundamental definitions of the densities of these properties. It can be manifest as a gradient in the potential energy. the total kinetic energy density W is nu. as given for the 3-D case by (3. then we have ballistic transport. If the region is so short that the injected electrons can traverse it without being scattered. The main objective of this chapter is to describe and characterize the currents due to each of these three gradients. i.1 Charge. To disturb this equilibrium. which is related to the electrostatic potential ψ. 6-D (r . To begin to solve the problem.64 5 Charge transport current density: Je. k (5. 2 (5. k x . we’ll restrict our discussion to just two dimensions of this space. k. The fact that we are simultaneously specifying a position and a momentum means that we are treating the electron classically.2) 2 Multiplying (3.9 that the crystal momentum k is the momentum due to forces other than the periodic crystal forces. The former force is given by −qEx . t). t) = f x + vx t. and the latter is defined from ∂f ∂t ≡− coll 1 ∂f Fx. (5.5) Now. k)-environment is called phase space. Here. f (r . It is these other forces that we are interested in.2 The Boltzmann Transport Equation The full. This is consistent with the effective-mass formalism in which the group velocity of a wavepacket is involved. coll . an electron will move a distance vx t in time t.27) by m ∗ vx /2 gives the x-directed kinetic energy density: We. we must first formulate an equation that describes how f might change in both real space and in k-space in response to relevant forces. because there is some quantum mechanics involved in getting the group velocity and in describing scattering processes microscopically.x = 1 4π 3 k 1 ∗ 2 m vx f (k) d k .6) . = −vx − ∂t ∂x ∂k x (5. 5. k x . the treatment is semi-classical. the probability of occupancy of these two states must be the same. f (k).3) The distribution function. under the action of some force Fx . for simplicity. must be found for the non-equilibrium conditions necessary for a net current to exist. x and k x . Recall from Section 2. This is a difficult task. where vx = (1/ )d E/dk x is the x-directed velocity.x = −q 1 4π 3 vx f (k) d k . The electron will also change its momentum according to k x / t = Fx . k x + Fx t. t + t . t) as the probability of an electron at position x having a momentum k x at time t. ∂k x (5. coll . thus f (x. In fact. so we can view f (x. With this understanding of the situation. or to give it its full set of dependencies.4) then becomes ∂f Fx ∂ f ∂f . Equation (5. As we are saying that the electron has moved from one state in phase space to another state.4) Taking t as being very small permits a Taylor series expansion of the right-hand side to first order. let us specify the force as being due to an applied electric field Ex and due to a scattering force Fx. ions and other charge carriers. 5. instead of as v · ∇ . because v. With these changes. and we make use of the general result of applying the Method of Moments to the BTE: ∂ ∂t +∇ · J = G − R . and R is the rate at which is lost due to scattering.5.8). For the charge density. Also. The method involves multiplying each term of the BTE by some factor ϒ and integrating over d k/4π 3 . current density and kinetic-energy density. Much of the difficulty involved in solving this equation comes from having to specify in a tractable way the complicated. coll (5. J = v is the flux of . there is no generation term because the electric field does not generate any charge. we appeal to the Method of Moments to arrive at useful expressions for the properties of more interest to us: charge density. In (5. We follow the approach of Datta [1]. we seek to solve for: −qn in (5. 5. G is the rate at which is generated by the electric field.8) for the first three moments are tabulated in Table 5. in keeping with the more classical picture of collisions involving interactions of particles with atoms.2.8) is different from that in the BTE. It is permissible to write ∇ · J in this manner. is a function of k only.8) where is −qn. according to the order of the moment being considered.2. or We . (5.2) using the first-order moment and We in (5.7) This is a ‘balance equation’ for the distribution function: driving forces due to kinetic energy (related to velocity) and potential energy (related to field) are moderated by the restoring force of collisions. or to result in a net flow of out of the volume. We include vx in ϒ. (5.2 The Boltzmann Transport Equation 65 where we have reverted to the label ‘coll’ for scattering events. Adding in the forces.1. there is no loss due to scattering because charge is not lost in . Je .1. the group velocity. Thus.1) using the zeroth-order moment.8) has a very clear physical interpretation: the difference between the generation and loss of in a volume serves to either increase with time in the volume. Je in (5. 5. microscopic nature of scattering.2 The continuity equations The results for each term in (5. The situation for steady-state conditions is illustrated in Fig.1 The Method of Moments Rather than describe how to solve the Boltzmann Transport Equation (BTE) directly for f . The order of the terms in (5.3) using the second-order moment. we obtain the 1-D version of the Boltzmann Transport Equation ∂f ∂f ∂f qEx ∂ f + = −vx + ∂t ∂x ∂k x ∂t . and label the moments according to the power to which vx is raised. and the equation has been written in 3-D form to distinguish between divergence and gradient. it is merely redistributed among the allowed momentum states.1 Schematic representation of the continuity equation (5.9) The current-density continuity equation describes the fact that current is generated by the field.x − W0 ) / τ E Continuity of: charge density current density kinetic energy density G R (v Φ) in (v Φ )out Figure 5. the scattering process. to be of the form J (t) = J (0)e−t/τ . The situation shown here is for steady-state conditions. and lost due to scattering. dt τ (5. after the removal of the field.1 Thus.8).x /m ∗ Se.1 Terms in (5.x G 0 q 2 nEx /m ∗ Je. . and one unit is generated by the internal field.66 5 Charge transport Table 5. reveals the precise form that τ must have: τM = − kx f d k dk kx ∂ f ∂t coll .x −2q We.x Je. and R would not be zero if recombination were happening. then G would not be zero if impact ionization were occurring.8) for the first three moment-solutions to the BTE. In general.x Ex R 0 Je. This loss is actually a loss in momentum. ∂t q ∂x (5. Two units are lost by scattering. (5. so 2 units of exit to the right at velocity v. the charge continuity equation for electrons is ∂n 1 ∂ Je = .x We. ϒ 0 −qvx −qvx 2 m ∗ vx /2 J −qn Je. If we were also to consider the valence band. one would expect the time dependence of the current.11) 1 Note that we are only considering conditions within one band.10) Substituting for R for the first-order moment term involving ∂ f /∂t for collisions. the conduction band in this case. which is described by the momentum relaxation time τ M . which gives J (t) dJ =− ≡ −R . for the case of a 1-D flow of some property . A flux of v carries 3 units of at a velocity v into the volume from the left.x / τ M (We. Therefore.5. which is clearly related to the x-directed kinetic-energy density. in steady-state.x were known. ∇ · J is a flux of kinetic-energy density.x or We. Equivalently. i. which we’ll encounter in Chapter 7. Thus. It is an elusive task to evaluate n. in which each electron possesses some kinetic energy.14) To obtain the Drift-Diffusion Equation. The Drift-Diffusion Equation The electron kinetic-energy density arises from a concentration of n electrons. the units are W/m2 .x = −2 ∗ m∗ d x m ux dn d ux +n dx dx . the divergence term in (5. thereby gaining kinetic energy.x . J/m2 s. The symbol used here for the flux is Se. x-directed kinetic energy of an electron.x = n u x .x . for the parabolic band case.x from the moment equations that can be assembled by substituting the terms from Table 5.e. there must be a net inflow of kinetic energy density. as expected. Thus. (5. (5. The J term 2 in the first-order-moment equation involves vx ..13) Thus. i. The principal parameters in the flux term J are vx mvx n. The latter are described by a properly averaged energy 2 relaxation time τ E . the current-density continuity . we make the assumption that the contribution to the kinetic-energy density gradient from the spatial change in the electron concentration is much greater than the contribution from any spatial change in the average kinetic energy of each electron. Two approximations that are widely used for the design and analysis of semiconductor devices are described in the next two subsections. The next moment equation would allow evaluation of We.x are accelerated by Ex .. Check out the units of this and you’ll find they are. In 1-D We. And so it goes on. we must make some approximations. the electrons constituting Je. If we want to evaluate n.e.1 into (5. which are more comprehensible because they inform that the flux of kineticenergy density is just a power density. the meaning of the first-order-moment equation is: if the loss of x-directed momentum due to scattering is greater than the gain of x-directed momentum due to the field. This gain is countered by scattering events that randomize the kinetic energy.x .x . we need Je. Je.8).2 The Boltzmann Transport Equation 67 where the double brackets signify the special averaging explicit in (5. To get closed-form solutions for the properties of interest. In the kinetic-energy-density continuity equation the generation term is the product of the current density and the field. (5.12) where u x is the mean. The next moment equation gives us Je. ux = 1 1 n 4π 3 1 ∗ 2 m vx 2 f dk . A practical example of this is the irradiance of the sun.8) can be written as −2 q d q We. to maintain the steady state.x if the flux of kinetic energy density Se. providing we know We. The single brackets indicate that the average is performed over the distribution function. then.11). is the product of We and some velocity that describes the electron ensemble. The Hydrodynamic Equations When neither component of the gradient in kinetic-energy density can be ignored.18) . so Te will exceed TL . of course. At equilibrium. we need to proceed to the next order of continuity equation before making any approximations. dx (5.15) where the electron mobility and diffusivity are defined from: µe ≡ and De ≡ 2µe ux . However. The Drift-Diffusion Equation (DDE) has been the ‘workhorse’ for device engineers for decades. which. This occurs for low doping densities under near-equilibrium conditions. for which the trend is towards smaller and smaller devices. Looking at the continuity equation for W . due to injection of energetic carriers from a neighbouring region.16) respectively. and note that it may differ from the lattice temperature TL . Physically.68 5 Charge transport equation yields Je.17) q τM m∗ . then it may gain energy faster than it can lose it to the lattice. Understand that the mobility is a macroscopic representation of the microscopic scattering processes that are specified by the average momentum relaxation time. This velocity comprises a component due to the applied field.3. (5. q (5. the approximation that we made in order to get the DDE is justifiable in situations where the regions of a device are long enough for any gradients in u x . we can write 1 We.x = n u x ≈ n k B Te . and a component due to the scattering processes [6]. we label the electron temperature as Te . From the Kinetic Theory of Gases.x = qnµe E + q De dn . we simplify the situation by ignoring any component due to the field. x-directed kinetic energy is given by u x = k B T /2. This situation may not apply to some modern transistors. and reduces to the familiar De /µe = k B T /q when the mean. as we show in later chapters of this book. Te = TL . this kinetic energy can be described by a temperature. by being accelerated in an electric field. It is in this context that one speaks of ‘hot’ electrons. Thus. we see that we need to know something about the flux of kinetic-energy density S. with their kinetic energy being related solely to their random motion. as can be verified by doing Exercise 5. and it continues to be useful for modern devices in many situations. Having approximated the kinetic energy as that of an ideal gas. The latter equation is often called the Einstein Relation. Here. for example. 2 (5. we regard the electrons as a sort of ideal gas. Here. basically. for example. to be essentially eliminated by randomizing scattering events. if the electron gas is ‘heated’. The first and third equations in this set describe the conservation of charge density and of kinetic-energy density.19) ∂x where κe represents the thermal conductivity of the electron gas: it is a function of the electron temperature.x 2q ∂ We. (5.x Ex − .22). which depends on the gradient of Te . In their present form they apply to conservation of charge and kinetic energy of electrons only within the conduction band.21) When this energy-density continuity equation is added to the continuity equations for charge density and for current density. and then using the resulting expression for ∂ We. Applying (5. Thus. we must include recombination and generation events.14).x Je.x ∂ 2 Te k B (Te − TL ) − κe 2 = Je.22) 5. leads to a revised expression for the steady-state current density: dn dTe + µe k B n .4). and also of the electron mobility and concentration (see Exercise 5. ∂t ∂x 2 τE (5.x Ex − .x q 2n = ∗ + ∗ Ex − ∂t m ∂x m τM ∂ 2 Te k B (Te − TL ) ∂ We.20) dx dx The new term makes it explicit that a diffusive current can arise from a temperature gradient. we start with the Hydrodynamic Equations (5. The charge continuity equation is amended to account for the explicit generation of charge carriers due to the optical and impact-ionization processes discussed in Chapter 3. . 2 Equations of this form were used in Fluid Dynamics before their application to electron transport.3 The device equation set 69 Because we’ve neglected the drift component of W .3 The device equation set To assemble a master set of equations that is useful for the design and analysis of ‘semiclassical’ devices. the kinetic-energy-density flux (the power density) S is a diffusive flow. we need to add terms that allow for interband transfer of electrons. (5. Specifically.19) to the kinetic-energy-density continuity equation: Je.x /∂ x in the current continuity equation.18) and (5. Applying (5.x ≈ −κe (Te ) . To make these equations useful for actual devices.x = κe 2 + Je. ∂t ∂x 2 τE (5.x = qnµe Ex + µe k B Te ∂ We.18) to (5.5. the resulting set is a simplified form of the 1-D Hydrodynamic Equations:2 ∂n 1 ∂ Je = ∂t q ∂x ∂ Je. we can write ∂ Te Se. respectively. Recombination of one electron.ii − Uh q Je = −qnµe ∇ψ + k B Te µe ∇n + k B nµe ∇Te Jh = −qpµh ∇ψ − k B Th µh ∇ p − k B pµh ∇Th 3nk B (Te − TL ) 3k B Te − U + E g G ii.ii − Ue q 1 ∇ · Jh = G op. the right-hand side of the first equation in (5. It’s not so obvious how to quantify this process. Thus the change in kinetic-energy density due to recombination and generation could be expressed as H =− 3k B Tc U + E g G ii . the kinetic-energy density. The continuity equation for kinetic-energy density must also take recombination and generation into account because these processes change the number of carriers in a band and. consequently.ii .23) where Tc is the carrier temperature. Thermal generation and all the recombination mechanisms discussed in Chapter 3 are lumped together in a net recombination rate U . Impact-ionization generation involves a loss of kinetic energy by one electron.18) and (5. but it is not unreasonable to attribute to each generation event a kinetic energy gain equal to the bandgap energy [2]. . −qn and qp. we must allow for the fact that the concentrations of electron and hole charge densities. but the promotion of another electron to the conduction band.22) is augmented by (G op. and of hole kinetic-energy density. We need to add the corresponding equation for holes. could lead to local space charge. the master set of equations for steady-state and 3-D is: − 1 ∇ · Je = G op.e 2 τE 2 3 pk B (Th − TL ) 3k B Th − U + E g G ii.70 5 Charge transport We label the sum of these as G op. Thus. so our master set of equations must also contain expressions for the conservation of hole charge. removes 3k B T e/2 of kinetic energy from the electron ensemble in the conduction band. Recombination/generation processes involve electrons and holes. Expressing W and S in their approximate forms (see (5. which would then influence the electrostatic potential ψ. The second equation in the hydrodynamic set describes the continuity of electron flow (current density). Poisson’s Equation must be added to our set. respectively. for example. so now two electrons can gain energy from the field. Thus.19)). Finally. 2 (5.24) −∇ · (κe ∇Te ) = −Je · ∇ψ − −∇ · (κh ∇Th ) = −Jh · ∇ψ − −∇ 2 ψ = q ( p − n + ND − N A) .ii − U ).h 2 τE 2 (5. be determined from experimental measurements. In the parabolic-band approximation. a lattice energy balance equation must be added to the set. and there are commercial solvers available to do this. The average of these field-related velocity changes is called the drift velocity. to truncate the moment equations into a closed-form set. In Section 16.24) comprises 5 independent equations in 5 unknowns. Inc. In practice. (see Section 3. Te . which is given by (5. Th . and provision must be made for heat to leave the device. and the right-hand side of the equation describes Joule heating. 5. The first is that carrier transport is semiclassical. The parameters µ and τ E are material properties. The set of equations (5. and move to new momentum states. it is noted that.4 Mobility Mobility is defined in (5. and. and MEDICI and Sentaurus from Synopsys.24). as we implied when using Newton’s Laws to formulate the BTE. Generally. A simple expression for heat balance in the steady state is ∇ · (κ L ∇TL ) = −( Je + Jh ) · E .3 Finally. Addition of this equation to (5. and ψ. and some scattering mechanisms are momentum dependent. it is emphasized that there are only two assumptions embedded in the set (5. through a contact. p.4 Mobility 71 The generation and recombination terms G and U in the charge continuity equations depend on the carrier concentrations n and p. for the purpose of describing the kinetic-energy density. Another way of looking at this is to recognize that electrons are accelerated by an electric field. and the mobility may be field-dependent because the momentum relaxation time is likely to depend on the applied force. ATLAS from Silvaco Data Systems. this is the same as saying the field moves electrons to new velocities.11).3.2). . in principle. it is implicit in our master set of equations that the lattice temperature TL is constant. vd . Given this. 3 For example. or derived from more detailed theoretical treatments. The second is that. To allow for spatial variation in TL .5. The latter assumption was made to allow us to simplify the expression for the flux of kinetic-energy density S. Thus. These dependencies can be expressed empirically. even though the carrier temperatures Te and Th are functions of position. Because an applied force changes momentum. our equations are an isothermal set. the contribution to W of the average velocity that the carriers might have due to the applied field is negligible. so they can. we can expect the mobility to be field dependent.1 we briefly examine the effect of such a non-isothermal condition on the DC and high-frequency characteristics of an HBT. for example.24) provides a set of equations for non-isothermal conditions.13) in terms of the average momentum relaxation time τ M . (5. thereby.25) where κ L is the material thermal conductivity. the energy relaxation time and the carrier thermal conductivities are unlikely to be independent of carrier temperature. the set has to be solved numerically. n. In Si.2. i. as dictated by the negative charge on the electron. causing a reduction in µ. and even decrease for the case of electrons in GaAs. the scattering rate for this process increases. 5. using the electron current as an example. C John Wiley & Sons.72 5 Charge transport 108 Drift velocity (cm s−1) 107 GaAs (electrons) Si (electrons) Si (holes) 106 GaAs (holes) T = 300 K 105 2 10 103 104 Electric field (Vcm−1) 105 106 Figure 5. This is a ‘log-log’ plot. 2. under which τ M could be independent of field. Experimentally. 2. qnµe E.26) from which it should be noted that the drift velocity for electrons is in the opposite direction to the field. it is possible to measure vd . it can be seen that the component of current that is directly related to the electric field is.. in which the electrons scatter between the six equivalent conductionband ‘valleys’. the scattering rate . after which vd tends to saturate. which result from taking a cross-section through the six equivalent prolate spheroids shown in Fig. Eventually. and the relationship between vd and E would be linear. Fig. but it can be inferred that the relationship is approximately linear at low fields. From the current-density expressions in our master set of equations (5. as is often the case: vde (E) = |vde (E)| ≡ µe (E)E . As E increases. Inc. This fact is hidden when magnitudes are used in the definition of drift velocity.24). The electron drift velocity can be defined from this equation: −qn vde ≡ −qnµe ∇ψ = qnµe E . field for low-doped Si and GaAs. so it is not restricted to low-field conditions. and some results for Si and GaAs are shown in Fig.27) Note that the field-dependence of the mobility is included in this definition.2 Drift velocity vs.e.12. τ M decreases. (5.23]. 1985. Reproduced with permission from Sze [3. (5. an important scattering mechanism for electrons at room temperature is intervalley scattering. Thus. due to there being insufficient time for collisions to establish a steady state.1 Empirical expressions for mobility The mobility appears in each of the 3 terms for current in (5.3.9). we may anticipate higher drift velocities being recorded.4. which is taken to apply at low fields. so it is important to be able to characterize it in an easily usable form. Useful empirical relationships for µ0 exist. Using (5. The decrease at high doping density is due to increased ionized-impurity scattering. at high fields. 5. 1 + 3.29) The measured low-field mobility µ0 is shown for Si and GaAs in Fig.24). If measurements were made on a time-scale of the order of the momentum relaxation time.5. (5. when intervalley scattering occurs. then.745 × 10−18 N (5. it is not between equivalent valleys. at high fields in GaAs.31) . µe decreases due to both increased scattering and increased effective mass: this leads to the decrease in vde shown in Fig. For Si [4].4 Mobility 73 becomes so high that any further increase in field leads only to transfer of energy to the lattice. This valley is less steep-sided than the lower valley. so when electrons are transferred to it their effective mass is increased (see (2. so vde saturates at what is called the saturation velocity. Instead it is to a valley at slightly higher energy (see Fig. 5.98 × 1015 + N /641 380 1 + 3. vsat . 5.984 × 10−18 N 407 = 54.266 −1/3 1252 1 + 6. 5. 5.28)). This phenomenon is called velocity overshoot. For Si.2.3 + . this is not difficult because the saturating characteristic of Fig. nothing is left to accelerate the electrons preferentially in the direction of the field. µe0 = 8300 1 + µh0 = N 3. µe0 = 88 + µh0 and for GaAs [5]. µ0 1 + vsat E (5. In GaAs.30) .28) where µ0 is a field-independent mobility. = + vd (E) µ0 E vsat (5. and the transport under such conditions is sometimes called stationary. 2.27) in this equation gives µ(E) = µ0 . The figure is compiled from steady-state measurements. as these don’t exist in this material.17 × 10−17 N 0.2. from which the mobility at 300 K can be readily computed.2 can be approximated simply by 1 1 1 . and can result in peak velocities that are several times higher than those shown in Fig. 74 5 Charge transport 2000 Mobility (cm2 V−1 s−1) 1000 500 200 100 50 20 10000 Mobility (cm2 V−1 s−1) 5000 2000 1000 500 200 100 1014 1015 1016 1017 1018 1019 mh. such as the multiple spherical surfaces in the valence band. Dh Si m e .3]. the relevant effective mass is just the band effective mass m ∗ . De mh . C John Wiley & Sons.32) Conductivity effective mass for holes In Si and GaAs.2 Conductivity effective mass The definition of mobility in (5. De 50 Diffusivity (cm2 s−1) Diffusivity (cm2 s−1) 20 10 5 2 1 200 100 50 20 10 5 1020 Impurity concentration (cm−3) Figure 5. For other situations. that are degenerate at the tops of the bands (see Fig. where µ is in cm2 V−1 s−1 . where S is Siemens.16) involves the effective mass.4.and light-hole bands. we can write the hole . there are two hole bands. σ . and the total impurity concentration N = N A + N D is in cm−3 . If the average momentum relaxation times are the same for holes in each of these bands. as employed in the development of the drift-diffusion and hydrodynamic equations. (5. Reproduced with permission from Sze [3. and the multiple prolate spheroids in the conduction band of Si. For a single. 1985. Inc. This is achieved by writing the expression for the conductivity. Dh GaAs me.3 Dependence of low-field mobility µ0 and diffusivity on total impurity concentration. 2. the heavy. and its value is given by the ratio of the drift current density to the electric field: σ ≡ 1/ρ = q(nµe + pµh ) .9). in a suitable form. 2. where ρ is the resistivity. Fig. 5. some way of accounting for the multiple contributions to the mobility must be found. spherical constant energy surface. The units of σ are Sm−1 . CON for Si and GaAs are listed in Table 4. this is the drift current.2. We can use these facts in DOS the above equation to develop an expression for the hole conductivity effective mass: phh plh 1 = + ∗ m∗ m∗ m lh hh h. Fundamentally.2).CON = 1 phh + plh (5. m∗ h.35) from which it follows that 1 1 1 2 = + ∗ . Conductivity effective mass for electrons in Si Look at Fig. Some aspects of these currents are discussed in this section.CON is defined. respectively. and that. m∗ 3 m l∗ mt e.CON (5. In the other two bands the t relevant effective mass would be the longitudinal effective mass m l∗ . it is the net flow of charge through a surface whose normal is parallel to the flow. = q 2 τM ∗ + ∗ ∗ E 6 ml mt m e.36) 5.32) that the carrier concentrations are proportional to m ∗ 3/2 . ∗ m ∗ 3/2 + m lh 3/2 hh Values for m ∗ h.CON The value for m ∗ e.24) arises from a difference in potential energy.2.5 Current Current density J is defined by (5. If the n electrons in the material are distributed equally among the six bands.12 for Si. m ∗ h.CON for Si is listed in Table 4. Physically.5. (5.CON (5.33) where the band effective masses for the heavy holes and the light holes are identified. The other two are due to gradients in either of the two contributors to the kinetic energy: the carrier concentration and the carrier temperature. We know from the densities-of-states expressions (3.34) ∗ m ∗ 1/2 + m lh 1/2 hh . One of the components of current in our master set of equations (5. These components are the diffusion current and the thermal current. and a conductivity effective mass.drift = q 2 τM E phh plh + ∗ m∗ m lh hh ≡ q 2 τM phh + plh . 2. In four of the six equivalent conduction bands the electrons would respond to an electric field in the chosen direction with the transverse effective mass m ∗ . m ∗ is simply the band effective mass. and consider any direction from the set 100 . the flow is caused by some gradient in energy. DOS in the spherical case.5 Current 75 conductivity as σh ≡ Jh. then we can write σe ≡ Jde n 2 4 1 ≡ q 2 τM n . . This inconsistency is dealt with by characterizing the electron distribution via a quasi-Fermi energy. we would have Je. 5. the drift-current densities for electrons and holes are Je. The actual distribution of the carriers in k-space is not explicit in these equations: it is implicitly taken into account in either µ(E) or vd (E).25) for f M B (E) and from the transformation of energy above E C to velocity given by (4. note from Fig.and backward-going parts of the distribution by subscript arrows. bear in mind that near-equilibrium is implied by the employment of v R in (5. a reminder that we know nothing about the actual non-equilibrium distribution function for the electrons and holes.. and because we have allowed vd to reach its saturation value (see Fig. unidirectional thermal velocity for an equilibrium hemi-Maxwellian distribution (see Section 4.39) . rather than n 0 . vd cannot be viewed as a minor perturbation to 2v R . We do know.5).76 5 Charge transport 5. and is illustrated in Fig.4.drift→ + Je. At low fields. rather than by the Fermi energy E F (see Chapter 6).2 that vsat for electrons in Si. i.drift = Je.drift = −qnµe (E)∇ψ = qnµe (E)E = −qn vde (E) Jh. the electrons and holes drift in opposite directions. Denoting the forward. but the current densities have the same sign. (5. perhaps.38).2). This is. that the drift-current expressions can be used up to high values of field. CON NC (5. at such fields. however.24) and the discussion in the previous section on mobility.38) where n. The distribution in this low-field case is called a displaced Maxwellian. However. and the peak vd for electrons in GaAs. which is a very similar value to that of the mean.drift← n n = −q (2v R + vde ) + −q (−2v R + vde ) 2 2 = −qn vde . because we have expressed µ as a function of E. then it might be reasonable to view the distribution of carriers as being only slightly perturbed from its equilibrium value.5.drift = −qpµh (E)∇ψ = qpµh (E)E = qpvdh (E) . 5.e. To appreciate this. (5. is used to emphasize the non-equilibrium situation. The velocity distribution function follows from (4.27).37) Note: for a given field. Each electron in a velocity state (parabolic bands) of k/m ∗ could be imagined to be shifted to a state ( k/m ∗ + vd ) due to acceleration by the field between collisions. are around 107 cm s−1 . Thus. perhaps around 102 V cm−1 . the function is f (v) = n0 exp[−m ∗ (v − vde )2 /2k B T ] . 5. in situations where we would expect the distribution function to be far from its equilibrium form.1 Drift current From (5. Including the drift velocity vde . the equations for the diffusion currents are Je. even if the carrier temperature Tc is constant.8 0.4 1.40) The results are plotted as a function of velocity in Fig.4 0. 3 exp[m ∗ v 2 /2k T ] exp[(E − E )/k T ] h B C F B th (5. Thus. (5.8 velocity distribution function f (v) 1.4 Velocity distribution functions for n = 6.6 0.28): n 0 (v) = ∗ 3/2 2 (m ∗ v 8π e. In the example shown.diff = −k B Th µh ∇ p . Note how the symmetrical distribution in equilibrium is distorted by the transfer of carriers to the right-going distribution in the presence of a drift field. The concentrations in the Maxwell-Boltzmann case considered here follow from (4.5.5 Current 77 2 1. 5. 5.25 × 1016 cm−3 in the equilibrium case (solid line) and for a current density of magnitude 104 A cm−2 (dashed line). a gradient in carrier concentration causes a flow of kinetic .24).5 1 1.2 0 x 10 3 0 0.5.2 Diffusion current From (5.6 1.5. To emphasize that such a large current density can be borne by a near-equilibrium distribution.diff = k B Te µe ∇n Jh. we consider the two hemi-Maxwellian velocity-spectral carrier concentrations for the conditions listed in Fig. 5. and this is sufficient to give a drift current density of 104 A cm−2 . the displacement in velocity is vde = 104 m s−1 ≈ 2v R /10.4.DOS m CON ) .2 1 0.41) k B Tc is a measure of the mean kinetic energy of each carrier.5 x 10 2 5 Figure 5. and nor are the diffusion currents limited to near-equilibrium conditions. 5. it is instructive to appreciate that diffusion currents can issue from equilibrium distributions. This can be done via a Taylor series expansion of each distribution about x = 0. at x = 0. ¯ n(0 + l) n(0) 1 dn ¯ n2 = ≈ + l. electrons and holes diffuse in the same direction.5 1 0.5 0 0 ) 2 4 x 105 6 Figure 5. The mean distance between collisions is called the mean free path.. consequently. For example. and. in which two Maxwellian distributions with ¯ different concentrations of electrons are separated by a distance 2l. 5. The concentration difference 1 (n 1 − n 2 ) 2 should be evaluated over a distance of this order. non-equilibrium case (dashed line). As in the case of the expressions for drift current. 2 2 2 2 (5. The current density at some intermediate plane. consider Fig.6.5 The hemi-Maxwellian portions of the carrier distribution in velocity for the two cases presented in Fig. To see this. and it is denoted by l¯ on Fig. For a given concentration gradient. is Je = −q n1 n2 n1 n2 2v R + (−q) (−2v R ) = −q − 2v R . However. 2 2 2 2 dx .4: equilibrium case (solid line).42) The two distributions have to be close enough together so that the electrons from each distribution can cross the plane at x = 0 without suffering collisions. energy. the distribution function is not explicit. a flow of charge.g. and by keeping terms to first order.5 2 n(v) (s m ) 1. but the current densities have opposite signs. e. as such events might change the distributions.6. 5.78 5 Charge transport x 1017 3 2. therm = k B nµe ∇Te Jh. there is a gradient in Te that drives the injected carriers forwards. then it would be exactly negated by a current due to some other mechanism. if there were a diffusion current at equilibrium.44) These currents exist when neighbouring carrier ensembles have different average kinetic energies.5.1). the equations for the thermal currents are Je. such as drift.3 Thermal current From (5.5. or even be alarmed. As the hot electrons cool towards the near-equilibrium distribution by scattering and recombination.42) gives ¯ Je. and is often masked by it.1).43) Expressing the diffusion current in terms of the diffusivity D is more usual than writing it in terms of either l¯ or k B T µ. and a net current is not allowed at equilibrium! What this actually means is that. This thermal current is. dx dx (5.6 Schematic of diffusion due to the close juxtaposition of two hemi-Maxwellian distributions of different electron concentration. One example where this might occur is the injection of high-energy electrons into a p-type region in which the existing carriers are at near equilibrium.24). One instance where the thermal current is evident is in HBTs operating at high currents (see Section 16. .therm = −k B pµh ∇Th .3.5 Current 79 0 x Electron energy 2l n1 n2 g(E)f (E) Figure 5.diff = q l2v R dn dn ≡ q De . in this particular example. and substituting into (5. 5. (5. that we’ve taken two Maxwellian distributions and produced a net current: this may appear to be inconsistent because Maxwellians are equilibrium distributions. You may be curious. This is precisely what happens in a np-junction at equilibrium (see Section 6. Taking the corresponding expression for n 1 /2. in the same direction as the diffusion current. creating near-equilibrium conditions. The energy of the ballistic electrons is eventually given to the lattice in the highly doped n-region. Fig. Similarly. Thus. where ionized-impurity scattering and electron-electron scattering are large. Nevertheless. We can estimate l¯ in the near-equilibrium case from (5.7. in this case. Top path: ballistic transport. We’ll now use this equation to estimate l¯0 . and thermal currents all result from transport processes in which scattering tends to dissipate any momentum that is imparted by an applied force. From Table 4. Collisionless.2. near-equilibrium with a low applied field. These values will decrease as the doping density is raised. diffusion. the electron gas is taken to be in equilibrium with the lattice.7 Illustration of electron transport through a region of electric field in a transistor. values of 2v R at low doping and TL = 300 K can be inferred to be about 107 cm s−1 for Si.3 informs that µ0 is about 1300 cm2 V−1 s−1 for Si. 5. 5. The difference between dissipative and ballistic transport is illustrated in Fig.45) where the subscript ‘0’ indicates equilibrium or. or ballistic. Bottom path: dissipative transport. the estimated values of l¯0 .80 5 Charge transport ballistic path electron states EC dissipative path n+ p n+ Figure 5. 5.43) and (5. under these conditions. For very low doping densities. l¯0 is about 30 nm for Si and about 100 nm for GaAs. because of the associated decrease in µ0 and increase in 2v R . and about twice this value for GaAs. creating non-equilibrium conditions in the uniform-field region. q 2v R (5. and about six times higher for GaAs.17): k B Te ¯ µ De = l2v R = q k B TL µ0 l¯0 = . transport is possible in regions of a device that are shorter than the mean free ¯ path l.6 Ballistic transport Drift. 5 x 10 −1 −8 Figure 5. and is of a material with a relative permittivity of 3. .3m 0 . . Specifically: U2 − U1 = 2. The top of this barrier is triangular.5 y (m) 0 −0.1 Tunnelling Probability density current Consider the potential profile shown in Fig.45 eV U3 − U1 = −1 eV the barrier has thickness d = 2. 5. 5.5 1 0.7 Tunnelling 81 4 2 0 ψψ * (m −1) U2 U 1 E U 3 F 1.8 Bottom part: Potential energy profile to illustrate the concept of tunnelling from Region 1 to Region 3 of an electron with energy less than the potential energy U2 of the barrier separating the two regions. A special case of ballistic transport is tunnelling. The top part of the figure indicates the probability density for an electron entering the system from the right with an energy E such that U1 < E < U2 .9. values are intended to represent tunnelling in a CMOS90 N-FET with ψox = 1 V do give an indication of the device feature sizes at which we might need to consider the possibility of ballistic transport occurring.5.7 eV E − U1 = 0. and an effective mass for electrons of 0.7 5. and could represent the potential profile in the oxide of a MOSFET.8: two regions of constant potential energy. are separated by a thin barrier. The electron effective mass in Regions 1 and 3 is 0.9m 0 . which we now describe. All these parameter . as illustrated in many of the .7. U1 and U3 . We do this specifically in Chapter 18.3 nm. . an electron in Region 1 with energy E 1 < U2 would be reflected from the interface with Region 2.47) where U is potential energy. Taking complex conjugates where necessary. and the appearance of m ∗ means that we are using the effective-mass form of the Schr¨ dinger equation. Classically.37)). This phenomenon of penetrating an insurmountable barrier is known as tunnelling.46) The time-dependent Schr¨ dinger Wave Equation is o i 2 ∂ = − ∗ ∇2 ∂t 2m +U . Here we simplify the barrier to that of a rectangular barrier with peak height U2 . we have chosen to ignore the difference between wavefunctions and envelope functions (see (2. and substituting .8.9 Redrawing of the probability density from Fig. provided the barrier is not infinitely high.5 1 0. the electron has a finite probability of penetrating the barrier and appearing in Region 3.82 5 Charge transport 10 2 10 0 10 ψ ψ * (m 1) 2 10 4 10 6 10 8 10 10 1.5 y (m) 0 x 10 8 Figure 5. The logarithimic scale emphasizes the small (≈10−8 m−1 ) probability density of electrons tunnelling from Region 1. where is the time-dependent electron wavefunction. We are interested in the flow of the probability density from one region to another dP = dt = 1 i ∗∂ ∂t ∗ + ∂ ∗ ∂t ∂ i + ∂t i ∂ ∗ ∂t . Quantum mechanically. t) ∗ (y. o Furthermore. t) is a probability density. Recall from Chapter 2 that (y. (5. as discussed in Section 2.11. (5. band diagrams in Chapter 10. 5. 4 For each of the three regions in Fig. ∇ ∗ − ∗ ∇ (5. and ψ3 ψ3 is a constant. (5.2 Transmission probability To begin to estimate J P we need to evaluate . m ∗ dy Within the three regions of Fig.49) are ψ1 = Aeik1 y + Be−ik1 y ψ2 = Cek2 y + De−k2 y ψ3 = Feik3 y . .2. the spatial part of (y. These forms are illustrated in the top part of 4 See Section 2.49) The boundary conditions for solving this equation follow from the discussion in Section 2.7. ψ1 ψ1 is oscillatory.51) (5. ψ2 ψ2 is exponentially ∗ damped if C D. which can be summarized as: r ψ is continuous across a boundary. We’ll assume a one-dimensional system and we’ll limit U to having only a spatial dependence. t). as discussed in Section 5.7 Tunnelling 83 into (5. Therefore.48) where J P is defined as the probability density current.2: any spatial change in the flow of some property must result in a temporal change of that property.50) ∗ ∗ Note that k1 . and the wavenumbers are k1 = k2 = k2 = k3 = 1 1 1 1 2m ∗ (E − U1 ) 1 2m ∗ (E − U2 ) ≡ ik2 2 2m ∗ (U2 − E) 2 2m ∗ (E − U3 ) .48) follows from the basic idea of continuity. where A − F are coefficients to be determined.8.3 for how to separate (y.5.1. 5. some manipulation yields dP i = − ∗∇ · dt 2m ≡ −∇ · J P .11. 5. The defining line of (5. 3 (5. and for an electron entering from the right with an energy E such that U1 < E < U2 . t) into spatial and temporal components. k2 and k3 are all real. 5.46). and r 1 dψ is continuous across a boundary.8 the Schr¨ dinger Wave Equation can be written as − d 2 dy 2 1 dψ m ∗ dy + (U − E)ψ = 0 . so that we need only solve for o ψ(y). the solutions to (5. The essence of this equation can be appreciated by making the assumption that k2 d This assumption is satisfied when the wavelength of the electron in Region 2 (λ2 = 2π/k2 ) is much less than the barrier thickness. and T becomes T = 16 4+ k2 m 1 k1 m 2 2 − k3 m 2 k2 m 3 exp − 2d 2m ∗ (U2 − E) . Physically. which is called the transmission probability.A = J P. Under this approximation. the solution is T = (1 + k3 m 1 /k1 m 3 )2 4m 1 k3 /m 3 k1 .A .F to the incident probability density current J P. such as F F ∗ /A A∗ . we find that J P. a wave enters from the right.8. The pre-exponential factor is often of order 1. 2 (5. . 5. vk.53) where the second equation. After considerable algebra.48). 5. in which case an 5 The component Ceik2 y is that part of ψ2 due to reflection of the evanescent wave at the boundary between materials #2 and #3.3 |F|2 . Note that T is a function of E. the desired ratio. some small fraction of the probability density wave exits on the left. its magnitude is reduced exponentially as it traverses the insulator as an evanescent wave and. is T = ≡ k3 m ∗ |F|2 1 k1 m ∗ | A|2 3 vk. The so-called asymmetric rectangular potential barrier of Fig.54) 1.55) This equation brings out the importance in tunnelling of both the barrier thickness d and the barrier height U2 . What we really want is the ratio of the output probability density current J P.5 Applying the two boundary conditions to the two interfaces gives us four equations in the five unknowns A − F. cosh (k2 d) + (k2 m 1 /k1 m 2 − k3 m 2 /k2 m 3 )2 sinh2 (k2 d) 2 (5.52) So.1 | A|2 (5.84 5 Charge transport Fig. and implies that the tunnelling probability is low.8 allows an analytical solution for T . both the hyperbolic functions in (5. if the insulator is not too thick.52) that | A|2 and |F|2 must be charge densities. Using the definition of J P from (5. m∗ 3 (5. written in terms of the state velocities.54) reduce to e2k2 d /4. It also follows from (5. follows from our use of the parabolic-band approximation.F = k1 2 | A| m∗ 1 k3 |F|2 . so we can only evaluate relative amplitudes. each of width dy.z Region 3 (Gate) Region 1 (Channel) Region 2 (Oxide) Figure 5. which sets up the k-space axes in order to .7.57) The same result can be obtained from the so-called JWKB Approximation. The bottom part of the figure shows the k-space axes for computation of the current due to tunnelling from Region 1 to Region 3. 2 (5. it can be divided up into a number of thin rectangular slices. Region 1 would be the channel and Region 3 would be the gate.x k1.5.56) can be expressed in integral form T ≈ exp − 2 y2 y1 2m ∗ (U (y) − E) dy . 2 (5. For application of this to tunnelling in a MOSFET. Consider Fig.56) Non-rectangular barrier When the barrier is not rectangular.10. 5. even simpler expression results: T ≈ exp − 2d 2m ∗ (U2 − E) .y k1.10 The top part illustrates tunnelling of electrons through an insulator from either the conduction band (top electron. in which Schr¨ dinger’s equation is solved under the assumption that U (y) varies slowly in como parison to the electron wavelength λ = 2π/k [7]. and the approximate expression for the transmission probability (5.7 Tunnelling 85 Ex EC E1 y k1. We derive an expression for this current in this subsection. 5.) or from quasi-bound states (bottom electron). but you may want to read about MOSFETs in Chapter 10 and Chapter 13 before trying to follow the details. in a semiconductor.3 Tunnel current In this book the prime example of tunnelling is the so-called ‘leakage current’ through the insulator in a MOSFET. We consider two cases: one where the electrons in Region 1 are not confined. i. Region 2 with the gate oxide. the electron tunnel current is q ∞ J =− T (E y )n 2D (E F − E y ) d E y . we assume that electrons in Region 1 are not confined.y = −q 1 4π 3 T (k y )v y f (k) d k . (5.59) 2 2 2 where k⊥ = k x + k z . each of the electrons spread across the surface that has energy E y has a probability of tunnelling T (k y ) with a velocity v y . Here. and.63) h U1 n 2D (E F − E y ) = 6 b = (E F − E)/k B T is an appropriate substitution to make. 2 (2π ) (5. we can write ∞ −∞ ∞ dk x −∞ dk z ≡ 0 2π ∞ dθ 0 k⊥ dk⊥ . k (5.y = −q 1 2π ∞ ∞ T (k y )v y dk y 0 Ey 2 m∗ ⊥ 2π 2 f (E) d E . (5.61) using (5. The integral is easily solved by variable substitution. as we did for U1 in Fig. It is.86 5 Charge transport compute the current due to electrons tunnelling from Region 1 to Region 3. where the electrons are confined in a potential well. By examining its dimensions it can be appreciated that the integral represents an areal density.y 2 2 k⊥ 2m ∗ ⊥ + .e. and Region 3 with the gate metal..58).59).58) Let us take m ∗ = m ∗ ≡ m ∗ : this enables the energy to be written as ⊥ 1. making the variable change from k y to E y in (5. π 2 Finally.60) in (5.61) The reason for the rearrangement is to highlight the second integral. we get Je. substituting (5. 5. the density of electrons n 2D in the 2-D sheet of electrons at the oxide/semiconductor interface having an energy E = E y .z E = U1 + ≡ Ey + 2 2 ky 2m ∗ 1. Tunnelling from a continuum of states Here.8.x 1. .59). in fact. we associate Region 1 with the channel of an N-MOSFET. and rearranging.2).60) Changing variables from k⊥ to E using (5. secondly.6 The result is m∗ kB T ⊥ (5. and we treat E C as being flat. Going to polar coordinates. 2 2 k⊥ 2m ∗ ⊥ (5.62) ln 1 + e(E F −E y )/k B T . The fundamental expression for the y-directed current density in Region 1 comes from (5. Multiplying this by the transmission probability gives the expression for the y-directed electron current density in Region 3: Je. y = −q 2m ∗ ⊥ ah ∞ ∞ T (k y.63) is Je. The effective mass for holes in this material is 0. but perhaps without the simplifying assumption of an infinite well.32 × 108 m−1 ? 5. There is a limit to how small a device can be before this implicit assumption of precise knowledge of the position and momentum of an electron is rendered invalid by its violation of Heisenberg’s Uncertainty Principle. U p = p( 2 π/2m ∗ a).1 Consider a 1-D crystal of length 1 cm.Exercises 87 We will use this expression in Section 13. Exercises 5. p )v y (k y. (a) Consider that k B T /5 is a reasonable value for the uncertainty in energy of an electron of energy k B T . 5. where a is the width of the quantum well from which the electrons are tunnelling. the equivalent to (5.61) is Je. (5. Thus the expression for the current density corresponding y to (5.2 In our derivation of the Boltzmann Transport Equation.6 for estimating the gate leakage current in modern MOSFETs.and z-directions.y = −q 1 a ∞ T (k y )v y (k y ) k y >0 Ey 2 m∗ ⊥ 2π 2 f (E) d E .1. 2 (2π ) (5. or for an improvement upon (5. (b) Show that the transport of electrons in GaAs can be considered to be classical if the sample has dimensions that are greater than ≈25 nm. (5. The system can no longer be considered to be periodic in the y-direction. for example. and derive an expression for the minimum allowable uncertainty in position. .10. could be used for the gate leakage current in a heterojunction FET (Section 11. What is the current density due to carriers in the valence band at the instant when the only unoccupied state has a wavenumber of 4. so we employ our usual conversion from a sum-of-states to an integral over all states only for the x. Tunnelling from quasi-bound states In this case. Here we assume a rectangular well. p ) p=1 U1 +U p f (E) d E .65) where U p is the energy level of each of the p bound states. which is also illustrated in Fig.5m 0 .63) in the case of MOSFETs. 5. Thus. the volume in Region 1 is now L x L z a. For an infinite well.3 In the balance equations deriving from the Boltzmann Transport Equation the mean x-directed kinetic energy of an electron u x occurs frequently. electrons were assumed to move ‘classically’ between scattering events.66) This expression.3).64) where E y in this case is given by E y = U1 + U p . (5. Which material would have the higher intrinsic conductivity? 5. can be treated as constant.4 = 2α(k − k )2 .2.5. Write Se. and take it to apply to the n-type region of a device in which there is negligible electric field. where α is a constant.88 5 Charge transport (a) Derive an expression for u x in terms of k B T and Fermi-Dirac integrals. Eventually.7 = αk 2 and E B − 1. with the top of the valence band at E = 0 and k = 0. The electrons are occupying states in the conduction-band ‘valley’ centred at the point.x in terms of the electron-temperature gradient and an electron thermal conductivity κe .x as a product of kinetic energy density and electron velocity. such as kinetic energy. Recall that the BTE is an equation in phase space. (b) Evaluate u x for carrier concentrations of 1 × 1016 and 1 × 1020 cm−3 in gallium arsenide. . 5. This equation must be satisfied when f is the Fermi-Dirac distribution function. The electron current density Je.x as the end of the device at x = L is approached.x in terms of the mean-free-path length l¯ and dTe /d x.6 Consider the electron current-density equation in (5. and.x is constant throughout the device.8 Look at the band structure of GaAs in Fig.24). the electrons gain enough energy from the field to transfer into the conduction-band valley that is closer to the X point. The extraction process accelerates the electrons near x = L.5 Write down the equilibrium version of the 1-D BTE. can be expressed as E A − 0.4 Equation (5. 5.7 The E-k relationships for the conduction bands of two semiconductor materials. Use the relation between l¯ and diffusivity to show that κe = qnµe kB q 2 Te . Both materials have the same valence-band structure.67) 5.24). Determine the implications of this as regards the spatial dependencies of E F and lattice temperature TL in the case of a semiconductor device in which there is a built-in electric field. Electrons are injected into one end (x = 0) of the device and are extracted at the other end (x = L).9 and consider n-type material. and the energies are in units of eV . 5. k > 0.19) is an expression for the x-directed flux of kinetic energy Se. so when dealing with just real space. Explain how the ‘thermal-gradient’ current alters the diffusion contribution to Je. k-dependent properties. The procedure is the same as used for the diffusion current in Section 5. A and B. respectively. and then perform a Taylor series expansion to get Se. it is due entirely to the concentration-gradient term in (5. An electric field E is now applied and steadily increased. for most of the length of the device. each with spherical constant-energy surfaces. 2. To examine the validity of this change. (a) Simplify this expression by: taking the barrier to be symmetrical and of height U2 .11 5. Calculate the change in concentration of electrons in the left-going distribution if a current density of magnitude 104 A cm−2 is to be supported.e.13 Sketch the J − E characteristic of the material.. where τm is a constant. Show that the resulting expression is assuming that k2 d T = 16 4+ k2 k1 − k1 k2 2 exp − 2d 2m ∗ (U2 − E) . consider the particular example of m ∗ = 0. the pre-exponential factor in (5. Consider a silicon sample doped with 1017 donors per cm3 . and give your reasons for its shape. let the equilibrium be very slightly disturbed so that the concentration of electrons in the left-going hemi-Maxwellian is reduced by a small amount. 4. 2 (5.3 nm.4 for the full Maxwellian distribution of 1019 cm−3 electrons in silicon. 1. and their product T .9 5. Assume that the collision term can be written as ( f 0 − f )/τm . (a) Use the BTE to show that the distribution function for this case can be represented by a ‘displaced Maxwellian’.68) is set to unity. and U2 = 2. . assuming the effective masses are the same in all three regions (= m ∗ ). d2 = 2. (a) Find an expression for the electric field at equilibrium over the range for ni . which N D (b) Sketch the energy-band diagram for this case. 4.8.56). 5. Now. Explain qualitatively why the electric field is in the direction you have shown.54).68) (the pre-exponential factor and the exponent). (b) Estimate the extent of the displacement.Exercises 89 5. This is known as the Relaxation Time Approximation. Fig. and plot the energy dependence of the two terms in (5. Consider Fig. The transmission probability for an asymmetrical rectangular barrier is given by (5. then ‘near-equilibrium’ would be a reasonable description. If there’s no visible difference.68) (b) Often. it would be instructive to construct Fig. The concentration of electrons in the right-going hemi-Maxwellian distribution remains unchanged.4. and then to add to it the new left-going distribution. For a given electric field. n-type Si to which an electric field of 1000 V cm−1 is applied. what is the ratio of the drift-current densities of electrons and holes? The donor doping density in a piece of silicon varies as N D (x) = N0 exp(−ax). and indicate the direction of the electric field.3m 0 .10 5. data from . Is this change small enough for our near-equilibrium assumption of hemiMaxwellian distributions to be reasonable? In support of your answer. as was done in arriving at (5.7 eV i. Consider a sample of uniformly doped (1017 cm−3 ).12 5. Santa Clara. Semiconductor Devices. Griffiths.11. Fundamentals of III-V Devices: HBTs. June 11.4. [6] M. 5. Prentice-Hall. [5] W. Arora. 1999. Hauser and D. Chap.3. 1995. Lundstrom.M. Chap.3. Inc. J. Electron. 1st Edn. Roulston. Actually. but it can be justified by examining the problem of a simple.90 5 Charge transport 5. John Wiley & Sons Inc. and HFETs/HEMTs.J. but not if the effective mass is not included in the boundary condition. 1989. John Wiley & Sons. Sze.. 2008.J. Fundamentals of Carrier Transport. MESFETs.D. IEEE Trans. step-like potential barrier. 1985. [3] S.2. This conservation of the probability density current is the quantum-mechanical equivalent of Kirchoff ’s Current Law. Dev. 8. [7] D.. Silvaco Data Systems. vol.14 In Section 2. Cambridge University Press. Datta.R.S. [2] ATLAS Users Manual. p. 29.. Sec. 60. Introduction to Quantum Mechanics. Sec.1 one of the boundary conditions for solving the Effective-mass 1 Schr¨ dinger Wave Equation made use of the ‘fact’ that m ∗ dψ is continuous across o dx a boundary. Addison-Wesley. References [1] S. 3. 2000.. 5. Quantum Phenomena. 1982. Physics and Technology. Electron and Hole Mobilities in Si as a Function of Concentration and Temperature. Write the wavefunction on the left of the barrier as ψ = eik1 x + r e−ik1 x and the wavefunction on the right of the barrier as ψ = teik2 x . Show that the probability density current is conserved at the boundary if the above boundary condition is used. . I don’t know of any rigorous proof of this. 292–295. [4] N. Liu. so all other energy levels on the band diagram are negative. to N A on the p-side.1a. 6. and some consequences of the bandgap mismatch are noted. LEDs. Physically. respectively. The diagram also introduces E 0 . 2. a potential energy barrier forms at this type of junction.and p. In this chapter the focus is mainly on the np-junction. the force-free vacuum level.1 np-junction at equilibrium To construct the equilibrium energy-band diagram for an np-junction. and they are related to the potential energies of electrons and holes. i. bipolar transistors. it is used to achieve an understanding of the properties of semiconductor junctions via the drawing of an energy-band diagram.1 This band diagram follows from Fig. . Semiconductor/semiconductor junctions play a crucial role in solar cells. we will only consider abrupt junctions.. which is used as the energy reference level. The positive energy required to effect the removal (E 0 − E C in the force-free case) is called the electron affinity of the semiconductor. and are prominent also in MOSFETs. where the capital letter denotes the doping type of the semiconductor with the higher bandgap. consider first Fig. the junction is labelled either Pn or Np. a pn. We also introduce the concepts of quasi-neutrality and quasi-Fermi levels. this barrier facilitates the separation of photogenerated electron-hole pairs into a current. under circumstances where there were no potential-energy gradients (electrostatic forces) in the semiconductor. 1 Unless otherwise stated. 6. and takes the symbol χ . It is assigned a value of zero.6 np. The latter prove useful in describing carrier concentrations under non-equilibrium conditions.41). When the junction is between different semiconductors. Finally. the Np-junction is described. in which the separate n.e. the construction of which is explained here.or np-junction is formed. As we demonstrate in this chapter. and shows the band edges E C and E V : these were defined in (2. the modulation of the junction barrier height by an applied voltage allows the current to be controlled by external circuitry. junctions in which the doping density changes abruptly from N D on the n-side. In a solar cell.type regions are shown. In the other devices.14. and HJFETs. E 0 is the energy that an electron would have if it were just removed from the semiconductor.and Np-junction basics When neighbouring regions of a homogeneous semiconductor are doped with different types of dopant. The transferred electrons initially increase the np-product on the p-side near to the junction.e. 6. the word ‘energy’ is dropped. differently doped regions. leading to an enhanced recombination rate. i. which is regrettable because we’ve already used µ for mobility. 6. (6. and the annihilation of many electrons and a corresponding number of holes. equal to µ.1a. in these field-free circumstances. This creates a region of negative space charge (acceptor ions) on the p-side of the junction.2) n 02 If the two materials are now joined (Fig. (b) Energy-band diagram for an np-junction at equilibrium. 6. A region comprising an equal 2 Invariably. when sufficient electrons have been transferred from the n-side to the p-side so that E F1 = E F2 ≡ E F .and Np-junction basics Electron energy E0 = El χ E EC EFi EF2 E V E0 E l(x) y F1 qVbi EC (x) EF E Fi(x) EV (x) x xP x (a) N dn 0 xdp (b) Figure 6.1 (a) Energy-band diagram for separate n.1) The final equation in the set indicates that the Fermi energy is. The short term comes from thermodynamics. leaving ‘chemical potential’. the Fermi energy can be written as E F = E C + k B TL ln n 0 − k B TL ln NC = E 0 − χ + k B TL ln n 0 − k B TL ln NC = −χ + k B TL ln n 0 − k B TL ln NC ≡ µ. The minimum-energy state of thermal equilibrium is reached when the driving force vanishes. this difference in chemical potential energy acts as a driving force to equilibrate the two.. Starting from (4. (6. as does the choice of the symbol for it.1b). As χ and NC are material constants. The difference between the Fermi energies in the two separate materials of Fig.14). .1a can be written as a difference in chemical potential energy n 01 E F1 − E F2 = k B TL ln = µ.and p-type material. and referring to Fig. E F evidently increases as more electrons are added to the semiconductor.92 6 np. which is the chemical potential energy2 . if a diffusive flow of charge is present at equilibrium. leaving ‘electrochemical potential’. ‘energy’ is usually omitted.5. In an np-junction at equilibrium. as we noted in Section 5.6. for example. the difference in electrochemical potential energy between two electrodes provides the electro-motive force (voltage) to drive electrons (current) around an external circuit. In the parts of the material where ψ = 0. nor is there a terminal voltage that can be recorded by a voltmeter.3) Thus E F is now more than just the chemical potential energy: it becomes the electrochemical potential energy. i. It also manifests itself as a voltage that can be measured by a voltmeter.41) for the drift and diffusion currents of electrons. then it must be exactly counterbalanced by a drift flow.1. Thus.e. 6. In an np-junction the built-in voltage develops to precisely the value that is required to ensure that the drift current of electrons down the potential gradient to the n-side. respectively. exactly cancels the diffusion current of electrons over the barrier from the side of higher electron concentration to the p-side (see Fig. (6. n (6. Vbi cannot be measured directly.. the fact that there is no difference in electrochemical potential energy means that there can be no net current in any circuit to which the semiconductor is attached. The actual. we arbitrarily set it to be zero at the end of the p-region. positiondependent electrostatic potential ψ(x) is defined in Fig. at x = x P . which is always expressed as a positive quantity.4c).2. This space-charge region is a source of internal potential energy.1 np-junction at equilibrium 93 magnitude of positive space charge (donor ions) appears on the n-side from the departure of the transferred electrons. for simplicity. (6. 6.1 The built-in voltage To illustrate the relationship between Vbi and the nullifying of the currents.3 In a battery. use (5. For the reference potential (ψ = 0). it follows from Fig. following the description from thermodynamics of a system possessing both chemical potential energy and electrostatic potential energy.4) Considering the edges of the space-charge region. 6. The same cancellation process also occurs for the holes.5) Again. 6. we have Je = −qnµe ∇ψ + k B TL µe ∇n = 0 . At equilibrium.37) and (5. and. Note the direction of the arrow labelling the potential energy (−qψ(x)): it indicates that a positive potential makes the local vacuum level El negative with respect to the reference energy E 0 . . characterized by an internal electrostatic potential difference called the built-in voltage. Vbi . one-dimension: ψ(n−side) ψ( p−side) 3 dψ = k B TL q n 0n n0 p 1 dn . Thus.1b.1b that the Fermi energy can be written as E F = −qψ(x) + µ(x) . 6) where. If the two regions of the device structure are made from the same material. 2. Vbi = 0. Draw E C and E V for each part of the device. extend the band edges to the metallurgical junction by drawing lines that are parallel to the vacuum level. Draw a solid horizontal line at this energy and label it E C . where T = TL is the lattice temperature.7) . q (6.1b.1a.g. e. and E 0 with a horizontal line. 1. Measure down from this the electron affinity of one distinct region of the structure. For each region in turn. At 300 K. (6. 6. join-up El with a smooth curve. and add-in El = E 0 for this side of the device. 6.. This is the case for the homojunction shown in Fig. where there would otherwise be a discontinuity in these energy levels. make it close to E C if the doping density is high. leaving a gap at the junction. 4. 6. add-in a solid line for El at an energy χ above E C . 10.95 V. Choose one side of the device to be the reference side for the electrostatic potential. for convenience. Repeat the above steps for any other distinct regions.94 6 np. 5. On the non-reference side. i.1b we chose the p-side for the reference. In Fig. 6. we have defined the thermal voltage as Vth ≡ kB T .e. This is the reference energy level. 9. each band edge should join-up smoothly across the interfacial region. for example.and Np-junction basics Performing the integration yields the standard expression for the built-in voltage of a homojunction: Vbi = k B TL n 0n ND NA ≡ Vth ln ln q n0 p n i2 . For example. The transition in El (x) must be continuous because it represents the change in electrostatic potential. 11. Draw a solid horizontal line and label it E 0 . to connect the two separate parts of the structure. 3. 7.. Now. the n-type region. 8.2 Constructing an equilibrium energy-band diagram The algorithm for constructing the equilibrium energy-band diagram is summarized here. Across the interfacial region between the two parts of the structure. if you have an np-junction. Add a dashed horizontal line for the Fermi level at a position that gives some indication of the doping density. your diagram should now look like Fig. start with a dashed horizontal line across the width of the entire structure: this is E F .1. Add another solid horizontal line E V to indicate the bandgap E g . . 6. Vth ≈ 26 mV and for a Si homojunction with doping densities of 1019 and 1017 cm−3 . ψ(−x N ) = Vbi and ψ(x P ) = 0.5 so −qψ(x) ≡ E C (x) − E C (x P ) .1. and the boundary conditions are simply. the solution for ψ(x) from (6.10) (6.4).9) (6. = (6. and it can be seen that it is dropped mostly across the lesser-doped portion of the space-charge region.1. but there cannot be discontinuities in the vacuum level. In a heterogeneous system.1b: −qψ(x) = El (x) − E 0 .6. so (6. as mentioned in the previous subsection. 4 5 A discontinuity in the vacuum level would imply a discontinuity in electrostatic potential.4 6. Such a contact allows both electrons and holes to flow easily into and out of the semiconductor. 6. It follows from (4. . which would then be rapidly re-arranged to reduce the field. We’ll discuss the nature of metal/semiconductor contacts in Section 11.11) where ρ is the volumetric charge density.8) must be used for the potential. Applying these conditions to a silicon np-junction with N D = 1018 cm−3 and N A = 1017 cm−3 . rather than (6.2. which would mean an infinite electric field. 6. there may be discontinuities in E C and E V at the interface. E C (x) does not necessarily track El (x) throughout the device. (6. The built-in voltage is 0.24).9).1 np-junction at equilibrium 95 For a junction between dissimilar materials. In this case. but a common case is that of an ohmic contact. and considering one dimension for simplicity: − d 2ψ ρ = 2 dx q p0 (x P )e−ψ(x)/Vth − n 0 (x P )eψ(x)/Vth + N D − N A .11) is shown in Fig. n 0 (x) = n 0 (x P )eψ(x)/Vth p0 (x) = p0 (x P )e−ψ(x)/Vth .14) that. so the carrier concentrations at the semiconductor/metal interface are maintained at their equilibrium values. when Maxwell-Boltzmann statistics apply.3 Potential profile The potential ψ is defined from Fig. In a homogeneous system. This would create an infinitely strong force on neighbouring charges.8) Employing these in Poisson’s Equation from our master set of equations (5. n 0 (x P ) and p0 (x P ) are easily found (Section 4. provided n 0 and p0 at the end of the p-side of the device are known. This non-linear equation can be solved numerically to find ψ(x). E C (x) tracks El (x).9 V in this case. 5 0. The solution is from the master set of equations (5. The equations are −q N D d 2ψ = 2 dx d 2ψ q NA = dx2 − xdn ≤ x ≤ 0 0 ≤ x ≤ xdp . (6.8 0.96 6 np. p(x) ND NA −xdn ≤ x ≤ 0 0 ≤ x ≤ xdp .12) where the x-coordinates are from Fig. (6.3 0.11) into two linear equations that are easily solved.11) for ψ.6 0. At equilibrium.2 The Depletion Approximation The space-charge region at the np-junction plays such an important role in the operation of many semiconductor devices that it is helpful to have a way of estimating its width without resorting to numerical methods of computation.13) .24).2 Potential profile for a silicon np-junction with N D = 1018 cm−3 and N A = 1017 cm−3 .1b. as shown in Fig. as implemented by the commercial solver ATLAS. under equilibrium conditions. namely n(x).05 0. This is achieved by making the Depletion Approximation.3.05 0 0.4 0.15 Figure 6. p(x) n(x).and p-regions is shown by the dashed line.2 0. this set of equations reduces to (6. This approximation turns the smooth variations in n(x) and p(x) across the junction into abrupt changes at −xdn and xdp . 6. The actual metallurgical junction between the n.7 Potential (V) 0. respectively. 6.and Np-junction basics 1 0.1 0 0. and which enable the width of the so-called depletion region (W = xdn + xdp ) to be determined.9 0.1 0. 6. The beauty of the approximation is that it turns (6. .2 The Depletion Approximation 97 x 10 17 10 Net charge concentration/q (cm ) 8 3 6 4 2 0 2 0 0. as implemented by ATLAS. it equals Vbi in the equilibrium case. this follows from Gauss’s Law and the continuity of the dispacement D in the absence of free charges at the actual interface.16) Formally. (6. is shown by the solid line.3 Profile of the charge density ρ = ( p + N D − n − N A )/q for a silicon np-junction with N D = 1018 cm−3 and N A = 1017 cm−3 . It should be clear that the magnitudes of the charges in the two parts of the depletion region are equal:6 q N D xdn A = q N A xdp A .13): − dψ =0 dx dψ − =0 dx ψ =0 x = −xdn x = xdp x = xdp . The profile from the Depletion Approximation is shown by the dashed line.1 0. The full numerical solution from (5.14) The solution is ψ(−xdn ) − ψ(xdp ) ≡ V J = q 2 2 2 N D xdn + N A xdp .15 Figure 6. under equilibrium conditions.6.05 0. This assumption provides the boundary conditions required for the solution of (6.24).15) where V J is the potential difference across the junction region. (6. 6 (6. A further aspect of the Depletion Approximation (DA) is that it assumes that there is no voltage drop in the regions outside of the depletion region. 3. Notice that the majority carrier concentrations do not change in the abrupt manner assumed by the DA. the electron injection into the p-type region is sufficient to push ρ just inside the p-region to a value slightly below the value of −q N A assumed by the DA.9 V W = 114 nm. primarily to estimate the width of the space-charge region. the DA is widely employed. This is to be expected on physical grounds: if it were otherwise there would be infinite diffusion currents. Despite these discrepancies. the application of bias to an np-junction is manifest as a difference in electrochemical potential between the metallic end-contacts.4a for convenience.8 × 1023 cm−3 . to which leads would be attached and taken to the external circuit. This feature would be more pronounced if N D were higher. the highest occupied band is half-filled with electrons. . The Fermi level has been extended on either side of the device to represent the metallic contacts. equilibrium case.7 and means that any electron exchange between a metallic contact and its adjoining semiconductor can occur without significantly disturbing the metal from its thermal-equilibrium state. Va is negative and the total potential difference across the device is ψ(−x N ) − ψ(x P ) = Vbi + Va . and xdp = 104 nm. where the charge densities predicted by the DA and the full numerical calculation are compared. + ND NA (6. The validity of the DA in the equilibrium case for this junction can be assessed from Fig. 6. 6.19) For Al.and Np-junction basics where A is the cross-sectional area of the device.7. so the charge density ρ on the n-side of the junction never attains the value of q N D assumed by the DA. Thus. and considering the . Also. Taking the p-side contact as our zero reference for electrostatic potential. 6.17) For our Si example with N D = 1018 cm−3 and N A = 1017 cm−3 . for the moderately high donor doping density used in this example. n is still significant at the metallurgical junction (x = 0). the number of electrons ‘available for conduction’ is 1. xdn = 10 nm.3 np-junction under bias The equilibrium energy-band diagram of Fig. we find that Vbi = 0.1b is repeated in Fig. the width of the depletion region can be written as W = 2 VJ q 1 1 . We will use it for this purpose in all of the devices we study. (6. Let us apply a voltage Va between the two contacts.98 6 np.18) In metallic elements with an odd number of valence electrons per primitive unit cell. The number of electrons is huge. 6. 7 (6. Putting all these facts together. as discussed in Section 2. Also note that. and can be expressed as E F (−x N ) − E F (x P ) = −q Va . The converse situation is one of reverse bias. resulting in a net current. say 104 Acm−2 . We now have to consider how this applied voltage is distributed (dropped) across the device. Note that.4.4. It follows that Fig. due to the relative lack of carriers in the former region. Now. (d) Net flow of electrons from left to right due to lowering of the potential barrier at the junction. (b) Energy-band diagram under an applied forward bias Va = −[E F (−x N ) −E F (x P )]/q. E F (x P ) is taken as the reference energy for the electron potential energy −q Va due to an applied voltage Va . For the forward-bias case illustrated in Fig.4b is drawn for the case of Va < 0. showing the Fermi levels in the metallic contacts. where the x-coordinates refer to Fig. let’s consider a case where this current density is rather large. (c) Equal and opposite flows of electrons across the junction at equilibrium. we would expect that most of Va would be dropped across the more resistive space-charge region. By drawing hemi-Maxwellian distributions we are assuming that near-equilibrium conditions are applicable. Thus. refer to Section 5. and make use of the fact that the conductivity is much lower in the space-charge region than in the other regions of the device.6. the potential barrier at the junction would be lowered by ≈ |Va |. This lowering would enable more electrons to pass from the n-side to the p-side of the junction. For an initial guess.4 (a) Equilibrium band diagram of Fig.3 np-junction under bias 99 Electron energy − y(x) E0 − y(x) E l(x) EF − N) EV (x) EC (x) EFi(x) EF (xP) − − EF − N − dn 0 xdp (a) xP x N dn 0 xdp (b) xP x Electron energy x (c) (d) x Figure 6. by not connecting the band edges in the semiconductor to the band edges in the metallic contacts.2. when the applied voltage across an npjunction is such that the applied potential on the n-side contact is negative with respect to that on the p-side contact. 6. we have tacitly assumed that none of the applied voltage is dropped across the contact/semiconductor interfaces. Such a situation. 6.1. The flow of electrons constituting this current exists also in the n-type region of the device to the left of the depletion . This is a good assumption for the ohmic contacts considered here. is said to be one of forward bias.4b. 6. 6. The presence of new negative charge at some point beyond the edge of the depletion region on the p-side attracts holes. Proceed as follows: 1. the procedure is the same. The charge of +q p that they add to this site. the region of length L between the contact and the excess electrons is a bit like a parallel-plate capacitor of capacitance C. draw a new horizontal line for El at a depth of −q Va below the previous position of El . In reverse bias. Complete the n-side of the diagram by adding-in E C at χ below El . but before you actually do this. then you should find that the field is only ≈ 6 mV µm−1 .2 Quasi-neutrality The fact that there is only a minuscule field in the regions of an np-diode outside of the space-charge region suggests that there is very little deviation from charge neutrality within them. The guess of Va being dropped entirely across the space-charge region is.2. Let us take a doping density of 1019 cm−3 for this region and compute the field needed to support the chosen current density.8–1.1). Repeat this for the band edges. and the space-charge region shrinks. the actual voltage dropped across this region will be very small compared to the value for Va of about 0. driven by the tiny electric field in this region. 6. 6. consider the differences between Fig. You know that El must join-up smoothly across the junction. 6. consider how the width of the space-charge region has changed because of the applied bias. If you do this. and E V at E g below E C .100 6 np. so less charge is needed to support the potential difference. Forward bias reduces the potential drop across the junction. Copy the portion of the band diagram on the reference side of the junction (the p-side in our case). (see Exercise 6. a very good one. therefore.4a and Fig.3. Thus. 3.0 V which would be typical for a Si np-junction delivering the stated . Eventually. consider the response of the majority carrier holes to the injection of electrons from the n-side of the junction (see Fig. In our forward-bias example this will raise El on the n-side above its equilibrium position. current density. To examine this suggestion. The associated RC time constant defines the dielectric relaxation . 4. On the n-side. but don’t draw-in E F . 2. but the larger voltage drop across the junction means that the depletion region is widened relative to its equilibrium value.1 Constructing a non-equilibrium energy-band diagram As an example of how to turn a band diagram at equilibrium into one at non-equilibrium. The former is constructed according to the steps in Section 6. balances the charge of −q p at the contact from which they originated.and Np-junction basics region.4b. 6. reach the site of the excess electrons. 6.3.5). which flow in from the right-hand contact. This region also has resistance R. in microelectronics. So. Thus. the holes.1. extend the horizontal portions of El a bit further towards the metallurgical junction before you join them up. (6. in a quasi-neutral region under low-level injection of minority carriers. This is a very short time. where Va j is the portion of the applied voltage that is actually dropped across the junction. This can happen in high-power bipolar transistors (see Section 16. The implication of this is that the majority carriers will respond to any changes in charge in a time of the order of τ D . For example.3 np-junction under bias 101 ∆n x x>x dp xP Figure 6. is the permittivity. then the regions outside of the depletion region can be considered as being effectively neutral. We generally consider them to be so in this book. An exception would be when the current were so high that the I R-voltage drop in the quasi-neutral regions could not be neglected.21) . we define Va j as Va j + Vbi ≡ V J = ψ(−xdn ) − ψ(xdp ) . To return to our question: where is the applied voltage dropped? We can now say that in an np-diode of the bulk type we have been considering. Thus. The distribution of the majority carriers.1). therefore. This usually holds in bipolar devices. In MOSFETs. the majority carrier concentration remains very close to its equilibrium form. the coupling of Va to the junction occurs capacitively. and is subjected to a very small field. time τ D : RC|QNR = = L A σA L σ ≡ τD . and it means that unless we are interested in what happens during time periods of this scale. we have Va j ≈ Va . and we’ll find in Chapter 10 that Va j = Va in the ON-condition. for which Va is coupled resistively to the actual junction. To allow for all possibilities. in p-type silicon with a doping density of N A = 1018 cm−3 . 5. the dielectric relaxation time is ≈ 50 fs. σ is the conductivity. and QNR stands for quasi-neutral region. For a more rigorous derivation of this result see Fonstad [1]. (6.4).3. fits the prescription of a displaced Maxwellian (see Fig.20) where A is the cross-sectional area.6.5 Showing the movement of holes towards an excess electron concentration in order to restore charge neutrality in a p-type region. 6). and is biasindependent. If the barrier becomes so high that few electrons on the n-side have enough energy to diffuse over the barrier to the p-side. (a) Note the positive applied bias (−q Va = E F (−x N ) −E F (x P )).3. 6. the potential profile is essentially flat. even in an out-of-equilibrium situation when large currents are present. and can be very large (see Section 6. 6.102 6 np. For a p-side doping density of 1017 ionized acceptors per cm3 . 6.6. the barrier height of the junction q(Vbi + Va j ) is increased. These ‘facts’ suggest that. the current density is less than a nanoampere per cm2 . it is given by q 2p 2v R . in the quasi-neutral regions. the carrier concentrations out . the actual width follows directly from an extension of (6. then the electron current is carried mainly by the equilibrium concentration of minority carriers on the p-side drifting down the potential barrier (see Fig.22) This expression also holds for forward bias (Va j < 0). Mathematically.6b). There are not many of these electrons.17): W = 2 (Vbi + Va j ) q 1 1 . + ND NA (6. and the majority carrier concentration is close to its equilibrium value. which is exponentially dependent on Va j . 6. so the reversen0 bias current is very small.6 The np-junction in reverse bias. To a first approximation. (b) Illustrating the blocking action of the junction as regards the electron flux from the left. as illustrated in Fig. which we take to remain grounded.and Np-junction basics Electron energy E0 y(x) EC (x) EFi (x) EF(xP ) EV (x) EC (x) El (x) EF ) N N dn 0 x dp xP x x (a) (b) Figure 6. The associated potential difference is supported by a widening of the space-charge layer. Thus.4 Quasi-Fermi levels Our reasoning so far has led to the conclusions that. a positive potential is applied to the n-side contact with respect to the p-side contact.3 Reverse bias In the reverse-bias case. This should be contrasted with the forwardbias current. in the quasi-neutral regions. (6. The ‘leap-of-faith’ that is often made is that (6.23) is widely used in commercial device simulators as its Maxwell-Boltzmann form is very appealing for computational purposes. However. respectively.23) is often modified in commercial device simulators to better represent Fermi-Dirac statistics. .14).23) applies not only to majority carriers in the QNR. then there will be a separation of the quasi-Fermi levels. The concept of quasi-Fermi levels was first introduced by Shockley [2. (4. and do not have a basis in thermodynamics. called quasi-Fermi levels: n = n i exp p = n i exp E Fn − E Fi k B TL E Fi − E F p k B TL . E Fn and E F p are artefacts. A large separation can be expected in the depletion region under forward-bias conditions because an excess of both electrons and holes will arise. on account of the lowering of the potential barrier at the junction (see Exercise 6. 308]. Another utility of the quasi-Fermi level concept is that it allows a convenient extension of the n 0 (ψ) and p0 (ψ) expressions to the non-equilibrium case. In the devices considered in this book.10). but to all carriers everywhere in the device. From (4.2).23) where E Fn is the quasi-Fermi level for electrons and E F p is the quasi-Fermi level for holes. Equation (6.24) This equation indicates that in regions where the n(x) p(x) product differs from its equilibrium value of n i2 . It is emphasized that these quasi-Fermi levels are defined by (6.6. We have argued that this condition is met by the majority carrier concentration in the quasi-neutral region. scattering usually ensures that this assumption is not seriously violated. are written in terms of two reference energy levels.23) is approximate in the sense that it implies a distribution of carriers that can be described by a simple exponential relationship involving a reference energy and the ‘Boltzmann energy’ k B TL . Such a distribution is an equilibrium distribution. the Maxwell-Boltzmann equations for carrier concentrations. Instead. p.23).4 Quasi-Fermi levels 103 of equilibrium can be described by equations of an equilibrium form. the Fermi level cannot be used in this description of the semiconductor because equilibrium conditions do not apply. (6. due to increased injection from the n-side and p-side.23) concerns the pn-product: n(x) p(x) = n i2 exp E Fn (x) − E F p (x) k B TL .8 One insightful result that follows immediately from (6. as the Fermi level does. Thus. 8 For degenerate conditions. (6. so it follows that quasiFermi levels should strictly only be used in situations where the carrier distributions do not stray too far from their equilibrium forms. then E Fn is defined. the values for which must be consistent with the out-of-equilibrium carrier concentrations. whereas E F helps define an equilibrium concentration by dictating the probability of states being filled. (6. Of course.23). if n is known. it must be stated that (6. as given by the sum of the electron and hole currents from the Drift-Diffusion Equation (5.8 −1 −1.and valence-band edges.2 −0. and p-side doping density 1017 cm−3 and length > 0. The solid lines are the conduction. and (6. Doing this leads to JT = Je + Jh = −qµe n∇φn − qµh p∇φ p .02 0. there is no current.7 Energy-band diagram for the numerical simulation from (5. (6.6 −0. The applied bias is Va = −0. (6.104 6 np. E F p is separated from E Fn in the junction region by q Va j ≈ q Va .2 EFp E Fn −0. (6.25) p = p0 (x P ) exp − Defining the quasi-Fermi potentials for electrons and holes as − qφn (x) = E Fn (x) − E F −qφ p (x) = E F p (x) − E F .27) An immediately useful application of these equations is to substitute them into the expression for the total current.24) by ATLAS of an np-junction with n-side length of 0.15). .06 0.04 Distance (µm) 0.02 µm and doping density 1019 cm−3 .2 0 Potential energy (eV) −0. (6.08 Figure 6.and Np-junction basics 0.26) allows the out-of-equilibrium carrier concentrations to be written in a compact form: n = n 0 (x P ) exp p = p0 (x P ) exp ψ − φn Vth φp − ψ Vth .28) This emphasizes that if there is no gradient in either of the quasi-Fermi levels.02 0 0.4 −0.8 V. Note the near-constancy of E Fn across the junction depletion region.08 µm.23) it follows that n = n 0 (x P ) exp ψ Vth ψ Vth exp exp E Fn − E F k B TL EF − EFp k B TL . 6. Arriving at the minority carrier boundary condition in this way is said to be invoking Shockley’s Law of the Junction. Note how the majority-carrier quasi-Fermi levels stay essentially constant at their equilibrium levels through their respective quasi-neutral regions. 312]. it is not unreasonable that the quasi-Fermi levels be nearly constant across the depletion region.1).5 Shockley’s Law of the Junction The statements about the near-constancy of the quasi-Fermi levels when there is an applied bias may bother you. Therefore. So. this equation informs that injection across the lowered barrier leads to an exponential rise in the minority carrier concentration at the edge of the junction.3. in that equation the gradient ∇φ always appears in a product term with the carrier concentration. Recalling that Va j < 0 for forward bias. It is a fair description because the observed exponential dependence of current on voltage in an np-junction follows directly from it. . such as for electrons in the quasi-neutral n-region. The left-hand side contact to the n-region was defined as being ‘ohmic’ (see Section 7.7. In the space-charge region. Note also that the constancy of the quasi-Fermi levels persists through the space-charge region. as we noted in Section 6. (6. even though they may be small relative to the doping concentrations. Thus. These facts confirm that the majority carrier concentrations remain essentially at their equilibrium values throughout the QNRs (at least for low-level injection). the two quasi-Fermi levels come together at this point. This is another way of saying the field must be small in this region. one or both of the carrier concentrations are also quite high.4. and exploited the fact to derive an insightful expression for the minority carrier concentration injected across an np-junction: n(xdp ) = n 0 (x P ) exp = n 0 (x P ) exp = n 0 (x P ) exp = n 0 p exp − ψ(xdp ) − φn (xdp ) Vth ψ(xdp ) − φn (−xdn ) Vth ψ(xdp ) − ψ(−xdn ) + Vbi ) Vth Va j Vth . as we stated via (6. This means that n and p at the contact are maintained at their equilibrium values. But note. p.28) that a gradient in quasi-Fermi levels is associated with a net current. Shockley called this result ‘the key equation of the rectification theory’. when the carrier concentration is very high. only a very small gradient in φn is needed. Shockley recognized this [2.29) where n 0 p is the equilibrium electron concentration on the p-side. wherein we used this fact to say Va j ≈ Va . Note also the constancy of the band edges in the QNRs.5 Shockley’s Law of the Junction 105 To illustrate the profile of the quasi-Fermi levels in a forward-biased np-junction. consider Fig. 6. 6. again. r no generation other than thermal generation. Applying these conditions to the electrons injected into the p-region. and it is reasonable to view the situation as one of quasi-equilibrium. the departure from equilibrium is usually slight. for which the term ‘quasi-Fermi level’ is appropriate.32) . the equilibrium electron concentration in the p-side quasi-neutral region. Such a diode has the following features: r no gradients in carrier temperature.29) can be used as a boundary condition. This means that the Drift-Diffusion Equation can be used for the current. It also means that the applied voltage Va is dropped entirely across the space-charge region.and Np-junction basics Finally. from the time-dependent form of the master set of equations (5. although potentially large absolutely.31) √ where L e = De τe is the electron minority-carrier diffusion length. r negligible fields in the quasi-neutral regions. The general solution to (6.31) is n(x) − n 0 p = Ae x/L e + Be−x/L e . 6. r quasi-neutral regions that are so long that the injected minority carriers all recombine before reaching the end contacts. for example.6 The ideal-diode equation One useful application of Shockley’s Law of the Junction is to set one of the boundary conditions for deriving an expression for the J-V characteristic of an ideal np-junction diode. This means that n(x ) ≡ dp n 0 p . This means that (6. = − ∂t q ∂x τe (6. r no doping density gradients on either side of the junction. we have.2). This means that minority carrier transport in these regions is due only to diffusion. at steady-state. (6.5. is generally small relative to the massive current of which a majority-carrier hemi-Maxwellian distribution is capable (see Section 4. r injection is sufficiently low that the quasi-Fermi levels are constant across the spacecharge layer.30) Thus.24) Je = q De ∂n ∂x and ∂n 1 ∂ Je n − n0 p .106 6 np. r no recombination-generation in the space-charge region. one other way to accept the near-constancy of E Fn and E F p across the spacecharge region is to realize that the current in a forward-biased pn-junction diode. dx2 L2 e (6. It is a measure of how far the injected electron travels in the p-region before it recombines. So. we have 0= n − n0 p d 2n − . This means that the electron current at x = xdp and the hole current at x = −xdn can be added to get the total current. 6. To get a ‘feel’ for the magnitudes of the current densities. De . J is 11. The corresponding expression for the hole current density is Jh (−xdn ) = −qp0n e−Va /Vth − 1 .1.35) (6.4 A cm−2 .7 pA cm−2 . To evaluate the diffusivities.20). and you should find that the reverse-bias current density (≈ J00 ) is 1. it is very informative because it captures the essence of a diode: an asymmetrical characteristic.96 V For a forward bias of −0. and recall that Va < 0 in forward bias. and is dominated by the elec. 6. The latter is De /L e in this case.34) (6. the Einstein relation. That’s quite some asymmetry! The ideal-diode derivation is also useful in that it highlights the position-dependence of the electron and hole contributions to the total current density JT . For this diode. Lh (6. tron component.36) ≡ J00 e−Va /Vth − 1 . Put the numbers into (6. Fig.17). use L = Dτ after evaluating the minority carrier lifetimes from (3. the electron current at the edge of the space-charge layer on the p-side is Je (xdp ) = −qn 0 p e−Va /Vth − 1 . JT is . with a reverse-bias current that is small and a forward-bias current that increases exponentially with voltage.21). use (5. The resulting solution for the electron concentration is n(x) − n 0 p = n 0 p e−Va /Vth − 1 e(xd p −x)/L e . after substituting for n i from Table 4.37) Dh . Thus the J-V relation for an ideal diode is J = −q n 0 p De Dh + p0n Le Lh e−Va /Vth − 1 (6. To get the of minority-carrier diffusion lengths. and is sometimes called the diffusion velocity.6) informs that Vbi = 0. Despite the many assumptions that are invoked to obtain this expression. where J00 is the saturation current density for an ideal diode. consider an np-diode with N D = 1019 cm−3 and N A = 1017 cm−3 .8 illustrates the steady-state situation for a single-loop circuit in which the diode is so long that all carriers injected from the contacts recombine within the bulk semiconductor. Thus. (6.8Vbi .6 The ideal-diode equation 107 The relevant boundary conditions are: n(x P ) ≡ n(∞) = n 0 p n(xdp ) = n 0 p e−Va /Vth . To get the minority carrier concentrations use (4.33) where the terms have been grouped to emphasize the general fact that the current density is a charge density multiplied by a velocity.37).30) for mobility and the near-equilibrium form √ (5. Le (6. and entirely by holes near the positive contact. are not consistent with ideal-diode behaviour. and of the circumstances in which they operate. Thus at high bias.108 6 np. the diode current still increases exponentially. where γ > 1 is known as the diode ideality factor. r Quasi-neutral regions are often short.8 Illustration of the position-dependence of the electron and hole contributions to the total current in a forward biased np-diode. r At low bias. constant.1). and a possible loss of charge neutrality in parts of the erstwhile quasi-neutral regions. This recombination mechanism usually leads to values of saturation current density greater than J00 . and to a value of γ ≈ 2 [3].3. the quasi-Fermi levels in the space-charge region may deviate significantly from constant values. so not all injected minority carriers recombine before reaching the contact. 6. it may be appropriate to include the current due to the recombination of electrons and holes in the space-charge region.4.2). The excess carriers consumed in the recombination events are replenished by carriers injected from the contacts. r High currents may also mean high-level injection of minority carriers. but is carried entirely by electrons near to the negative contact. but the dependence on bias is weaker. This can be represented in the diode equation by replacing Va with Va /γ . r For very high currents.and Np-junction basics n-QNR SCR p-QNR Va I Figure 6. An example of this is in the solar cell (see Section 7. in which case not all of the applied voltage Va will appear across the junction. are indicated by the crosses. as we now briefly indicate.1 Deviations from ideality in diodes Some features of practical diodes. . We encounter this situation in high-power bipolar transistors (see Section 16. This leads to local fields outside of the depletion region. and the saturation current density will be different from the ideal case. and in the space-charge region. Recombination events in the two quasi-neutral regions. Appropriate boundary conditions must be used.6. This will invalidate the use of Shockley’s Law of the Junction to get the minority-carrier concentrations at −xdn and xdp . 6. and of (6. leading to a current in the reverse-bias direction. a Type I heterojunction is one in which the wider bandgap ‘straddles’ the smaller bandgap.38) where the various energies are noted on Fig. (6. The important extrinsic property is the doping density.1. 6. E g N > E gp and the difference between the two cases arises because in Fig. In the examples shown. (a) Type I Np-junction. (b) Type II pN-junction.2 and Section 6.14).9 Equilibrium energy-band diagrams for two heterojunctions. We’ll meet this phenomenon again in the chapter on high-power transistors. Considering isolated semiconductors. which implies Maxwell-Boltzmann statistics.9. whereas in Fig. 6. carriers traversing the space-charge region may gain sufficient kinetic energy to impact ionize lattice atoms.9b χ N > χ p .7 Np-junction electrostatics The two intrinsic properties of a semiconductor that are important for determining the properties of a semiconductor/semiconductor heterojunction are the electron affinity and the bandgap. the heterojunction is categorized as Type II. 6. r At even higher reverse bias. r Any electron-hole pairs generated in the space-charge region are likely to be separated by the junction field. When all of these properties are known.6) for the built-in voltage of a homojunction. leading to current multiplication and avalanche breakdown. Making use of (4. and if the two bandgaps are ‘staggered’. the space-charge region may be so wide that this generation current is much larger than the ideal diode saturation current.9.1.9a χ N < χ p .3. 6. In both instances the built-in voltage is q Vbi = q VN + q V p = q V2 − q V1 + (χ p − χ N ) .7 Np-junction electrostatics 109 E0 Electron energy bi qVN χp ∆ EC qVp qV2 χp χ ∆ EC N bi El El χ N EC EF qV1 ∆ EV ∆ EV EV 0 (a) x 0 (b) x Figure 6. The results at equilibrium for two cases are shown in Fig. it .6. the energy-band diagram can be constructed following the procedures in Section 6. At large reverse bias. because of the difference in electron affinities.2 NC p n 0N NC N n 0 p + k B T ln + (χ p − χ N ) NC p NC N + (χ p − χ N ) . that EC + where all the E V = E g N − E gp ≡ Eg . Thus. . provided there is no free charge density at the interface between the two semiconductors.7. This is something that has to be considered in heterojunctions due to the possibility of charge being trapped at localized energy levels within the bandgap. and Vbi is the built-in voltage of a homojunction made from the lower bandgap material with the same doping densities as used for the heterojunctions under consideration. 6. Equation (6. the band edges E C (x) and E V (x) show discontinuities at the interface between the two semiconductors of the heterojunction.7. as befits a parameter that indicates the electrostatic potential.9 However. which might arise from any interruption in periodicity of the crystal lattice. respectively. (6. also holds. If the two semiconductors of the heterojunction have similar density-of-states electron-effective-masses.9.40) ’s are taken to be positive quantities. where ψ(x) is the potential.39) where T ≡ TL .15).9 that the local vacuum level El (x) varies smoothly with position. (6.2 Junction space-charge region The potential V J across the space-charge region at an Np-heterojunction is given by (6.41) 6.42) q N A( N ND + p N A) 9 10 Recall that El (x) − E 0 = −qψ(x). it can be appreciated from Fig. and labelling them as E C and E V .and Np-junction basics follows that q Vbi = k B T ln ≡ q Vbi np.39) can be ignored. Defining these discontinuities as the band offsets. E C = |χ2 − χ1 | .16). then the second term in (6.1 Energy band offsets Note from Fig. but modified to allow for different permittivities of the two semiconductors. which equates the ionic charge on each side of the junction. 6.10 It then follows that the two components of the space-charge region are xd N = 2V J N p N A q ND( N ND + p N A) and xdp = 2V J N p N D . and we see that Vbi for the heterojunction will be greater than that for the corresponding homojunction in the Type I case. (6. and lower in the Type II case.110 6 np. (6. 6.2 np. assuming that lowlevel-injection conditions apply to the electron flux from region 2 to region 1. In any junction. the application of a forward bias reduces both |q VN | and |q V p |. At equilibrium. these concentrations are: JeF = −q n(0− ) = n(−xd N )e−VN /Vth and n(0+ ) = n(xdp ) e(q V p − E C )/k B T . as illustrated in Fig. This significant departure from equilibrium is recognized by allowing the electron quasi-Fermi level (in this example) to be discontinuous at the interface.44) where the edges of the depletion region are −xd N and xdp .43) 2v R and JeB = q 2v R . while increasing the barrier to electron flow from the base. 6.7 Np-junction electrostatics 111 J e. as we now briefly explain.2 Electron energy qVN ∆ EC ∆ En qVp E FnN qVBE E Fnp ∆ EV E Fp 0 x Figure 6. This leads to a situation where the net electron flow may not be small compared to each of the counterdirected flows.3 Quasi-Fermi-level splitting In the case of heterojunctions with a conduction-band ‘spike’ at the interface. and (VN + V p ) = Vbi . 2 2 respectively. Further. In the junction under consideration. as in the Type-I Np junction shown in Fig.B J e. where the carrier concentrations are taken at either side of the top of the barrier at x = 0.1 J e. unlike in a homojunction. n(0) = n(0+ ).7. the interesting phenomenon of quasi-Fermi-level splitting arises.9. we can . the flow of electrons cannot be considered as a minor perturbation of the equilibrium condition. Employing Maxwell-Boltzmann statistics. 6. The forward and backward electron current densities injected over the potential barrier are written as hemi-Maxwellian fluxes n(0− ) n(0+ ) (6. this has the effect of reducing the barrier to electron flow into the base. VB E ≡ Va . (6. illustrating (within the shaded circle) the splitting of the electron quasi-Fermi level at the abrupt Np junction.F J e. 6. In other words.10 Energy-band diagram of a forward-biased Type I Np-junction.6.10. region 2 has been assumed to be uniform.2 can be equated. considers both the finite velocity v R of carriers crossing the junction. and W = (x2 − xdp ) is the width of the quasi-neutral part of this region. note that n(xdp ) = NC p e(E Fnp −EC (xd p ))/k B T n 0 (xdp ) = NC p e(E F p −EC (xd p ))/k B T . . This equation shows how (6.48) that the splitting of the electron quasi-Fermi level at the interface is E Fn ≡ E Fn N − E Fnp = −q Va − k B T ln n(xdp ) . reduces electron injection into the p-region. where E F p = E F and we have assumed that there is no voltage drop in the quasi-neutral p-region. this diifusive current can be written as Je.112 6 np.47) and (6.46) where x = x2 is the position of the end-contact to region 2.47) where n 0 p = n 0 (xdp ) = n 0 (x2 ).47) reduces to (6. it follows from (6.49) (6. To see this. Putting these facts together we have for the current Je. n0 p (6. (6..4.45) (6. the latter is zero.2 = q De [n(x2 ) − n(xdp )] W De ≡ −q [n(xdp ) − n 0 (x2 )]. The new equation. i. W (6. we now see that the ideal-diode treatment of the homojunction actually neglected any bottleneck to electron transport caused by the junction itself. Another way of characterizing the impeding effect of the junction itself is via quasiFermi-level splitting. Thus. and the presence of an energy difference E n at the interface.1 ≡ JeF − JeB = −qγ n 0 (xdp )e−Va /Vth − n(xdp ) where γ = v R e− En /k B T .2 in Fig. 6.48) 11 See Section 7. Shockley’s Law of the Junction for a homojunction. thereby yielding a boundary condition for n(xdp ): n(xdp ) = n 0 p e−Va /Vth + 1+ De Wγ De Wγ . and the second version implies that the contact at the end of region 2 is ohmic.1 for the definition of an ohmic contact. In a homojunction. Turning now to Je.10. (6.1 and Je. such as a finite velocity and a band spike. via γ .and Np-junction basics assert that n(−xd N ) ≈ n 0 (−xdn ).11 Assuming no recombination in the space-charge region.29).29).e. has to be modified to describe a heterojunction. Noting from Fig. and it is customary to imply that the former is infinite. 6. Je.10 that (E Fn N − E F p ) = −q Va . Accounting for restricting features. Under these conditions. The first version of the equation follows from assuming that there is no recombination in region 2. One such combination is N -In0.37). (4. QuasiFermi-level splitting can be reduced in a Type-I heterojunction by using materials with the same electron affinity. (6. even though they generally have N B Section 14. respectively. 12 13 This is a reasonable assumption for closely related materials such as AlGaAs/GaAs and InGaP/GaAs. we call the N -region the emitter and the p-region the base.52) is the exponential term.50) and the hole current is taken from (6.8 Emitter injection efficiency 113 Using this in (6. which we’ll discuss in Section 11. in which case E c = 0. and we will assume that the effective densities of states are the same in both materials. Lh (6.6. and the bandgap difference E g = (E g N − E gp ).52) where the subscripts E and B refer to the emitter and the base. leading to the following expression for the emitter injection efficiency: ηemitter = 1 + N B Dh W B −( e N E De L h E g − E Fn )/k B T −1 . it can be appreciated that. and then it will be clear that quasi-Fermi-level splitting reduces the driving force for the diffusion current. The electron current is given by (6.6. (6. 6.20).37).13 This holds true in N E for reasons discussed in modern HBTs.2 = −q De n 0 p e−(q Va + W E Fn )/k B T −1 .10 to appreciate how high ηemitter can be in modern HBTs. even allowing for quasi-Fermi-level splitting.49 Ga0.8 Emitter injection efficiency In anticipation of using an N p-junction at the emitter/base interface of a heterojunction bipolar transistor (Chapter 9).51) The bandgaps of the two materials enter via the equilibrium carrier concentrations. .1.50) Compare this current with the electron current component of the ideal homojunction diode in (6.51 P and p + -GaAs. E Fn can be very high in metal/semiconductor heterojunctions.19) and (4. we encounter this in Chapter 9 as the emitter/base part of an HBT. The appropriate form of the latter is Jh (−xdn ) = −q Dh p0N e−q Va /k B T − 1 . recall that Va < 0 in forward bias. leading to rectifying contacts called Schottky barriers.12 In forward bias the ‘−1’ terms in the expressions for the current components can be dropped. Our purpose here is to estimate the emitter injection efficiency of a Type-I heterojunction diode: this is the ratio of the injected electron emitter current to the total current.46): Je. Please do Exercise 6. the presence of a large bandgap difference can enable attainment of extremely high emitter injection currents. The dominant term in (6. Contrarily. 6. Design A of this diode uses p-type material that is of much higher crystalline perfection than is used in Design B.1 The current density in a forward biased n + p diode is 104 A cm−2 . and invoking Shockley’s Law of the Junction. If the voltage drop across the p-type quasi-neutral region is similar.2 Making use of your conclusion from the previous question. (b) Evaluate this expression at T = 300 K. but a very short QNR on the p-side. 6. and the p-side contact is grounded.3 Derive (6. Assume that the diode current is dominated by recombination of electrons in the quasi-neutral p-region. The current I is to be measured at a constant forward bias of 500 mV . and then projecting this gradient across the depletion region to obtain an estimate of (E Fn (−xdn ) − E Fn (xdp )). 6. then how can this be consistent with (6. 6.7 As/GaAs diode. where Va is the potential applied to the n-side contact.28)? Substantiate your answer by computing d E Fn /d x at x = xdp for an ideal diode in forward bias. This important equation indicates that a gradient in quasi-Fermi level must accompany a current. and B is an Np Al0. (a) Derive an expression for the fractional change in current I /I resulting from a temperature change of T . as Question 6.3 Ga0.6? 6.25 V forward bias? . and for simplicity. are you now convinced that the approximation of all the applied voltage being dropped across the space-charge region is a good one? 6.28). N E = 5 × 1017 cm−3 and N B = 1019 cm−3 for both diodes. Which design would give the larger current at a given forward bias? 6. Estimate the potential difference across this region. use (6. Which diode has the wider depletion region at −1.6 A Si n + p diode has very long QNRs on both sides of the junction.5 A Si np diode has a very long quasi-neutral region (QNR) on the n-side.and Np-junction basics Exercises 6.2 suggests.24) to show that the quasi-Fermi levels for electrons and holes are separated in the space-charge region by −q Va .7 Consider the possibility of using an n + p homojunction diode with a long p-region as the temperature sensor in an electronic thermometer.114 6 np. In the n-type quasi-neutral region this current can be considered to be carried entirely by electrons.4 If the quasi-Fermi levels are constant across the space-charge region. Is the current in this diode larger or smaller than that for the ideal diode discussed in Section 6. Confirm that this change is small compared to q V J .8 Consider two diodes: A is an np GaAs homojunction diode. consider the temperature dependence to be due solely to those factors that have an exponential dependence on temperature. The length of this region is 1 µm and the donor doping density is 1019 cm−3 . pp. .net/1721. [2] W. D.3. 1994. Appendix D..9 Consider an AlGaAs/GaAs N p + heterojunction with a p-type doping density of 1019 cm−3 . References [1] C. PrenticeHall.Van Nostrand Co. Estimate the amount of electron quasi-Fermi-level splitting at a high value of forward bias.10 Evaluate the emitter injection efficiency at a high value of forward bias for the heterojunction diodes of the two previous questions. Inc. and W B = 50 nm. Fonstad. Mc Graw-Hill Inc. Online [http://hdl. [3] D.. Pulfrey and N.G. Electrons and Holes in Semiconductors. 1989.G..References 115 6. Tarr. Microelectronic Devices and Circuits.handle. Shockley. 1950. The Al mole fraction in the n-type material is 0. Introduction to Microelectronic Devices. 6.1/34219].L. 138–140. We are fortunate that this distance is so large because it allows the power density to decrease from ≈6 × 104 kW m−2 at the Sun’s surface to ≈1. 7. internal transport of photo-generated charges. single-crystal semiconductor. (7. After that. aerosols and particulate matter. The intensity of sunlight at a specific point on Earth depends on the times of day and year. water.1. development of photovoltaic power in an external circuit. and by absorption by ozone.19◦ from its zenith. Thus. and the ‘greenhouse gas’ carbon dioxide. there is conversion of optical power to electrical power. multi-semiconductor tandem cells. The elements of this conversion process are sketched in Fig.7 Solar cells A solar cell is a large-area np-diode. Note that the top metal contact covers only a small fraction of the front surface so as to allow exposure of the semiconductor surface to the incident light. Absorbed photons generate electron-hole pairs. In this chapter we look at the Sun as an electrical resource. thin-film compound-semiconductor heterojunction cells. The solar-cell example used in our treatment is a single-junction diode fashioned from a homogeneous. We conclude with a brief discussion of the prospects for photovoltaics being widely used for terrestrial electric-power generation. 7. leading to a photocurrent. generation. designed to convert sunlight to electricity via the photovoltaic effect. are then considered: multi-crystalline Si homojunction cells. For standardization purposes. and then consider the four features of the conversion process: absorption. and on the orientation of the irradiated surface. and is incident on a south-facing surface (in the Northern Hemisphere) that is mounted at 37◦ to the . the solar spectrum at the Earth’s surface is taken to be that when the Sun is at an angle of = 48. which may be more practical. This current is directed to an external circuit where it develops a voltage across a load. which are separated within the diode. oxygen. multi-junction.1) The Earth ‘circles’ the Sun at a mean centre-centre distance of 149 × 106 km. further reductions occur due to scattering of light by air molecules.35 kW m−2 at the top of the Earth’s atmosphere. Some other possibilities.1 The Sun as an electrical resource The Sun’s radiant energy comes from the fusion reaction: 2 H1 + 2 H1 → 3 He2 + 1 n0 + E . 2 1 0.8 0.2 0 0 500 1000 1500 2000 Wavelength (nm) 2500 3000 Figure 7.2 Solar spectral irradiance. The actual number is known as the Air Mass Number.7. electron-hole pair generation and separation.. 7.1 The Sun as an electrical resource 117 n–emitter p–base _ VL + IL Figure 7.2.4 1. which can be purchased from ASTM. illustrating how sunlight is converted to electricity via absorption.5G. The thin layer between the top contacts is an anti-reflection coating. the diffuse radiation from scattering by clouds and from reflections by the ground is added to the direct radiation from the Sun. where ‘G’ stands for global. Northern Hemisphere. AM1.1 The secant of the former angle is a measure of the path-length of the Sun’s rays through the atmosphere. i. Spectral irradiance (Wm−2nm−1) 1.4 0.e.1 Schematic of the photovoltaic effect. 1 These angles have been chosen as reasonable yearly averages for the 48 contiguous states of the USA.5 for the angle cited. This spectrum is known as AM1.5 on 37◦ tilted surface. leading to the label AM1. horizontal. Under these conditions.6 1. Drawn from data in the ASTM G173-03e1 document [1]. The solar spectral irradiance for these standard conditions is shown in Fig. .6 0. global. 118 7 Solar cells about 30% of the Sun’s energy is lost in passing through the atmosphere, leading to a surface power density of 0.97 kW m−2 . This number is rounded-up to 1 kW m−2 for the purposes of testing solar cells and listing their performance in product literature. The goal of solar cell design is to convert as much of this power as possible to electricity. 7.2 Absorption Some of the light from the Sun that is incident on a solar cell is reflected, and some is transmitted into the device. In this section we consider the latter, and we write the spatial part of the electric-field component of sunlight’s transverse electromagnetic wave in the form used in Chapter 2 for electron waves: E y (x) = E0 eikx , where the wavenumber k = 2π/λsemi in a semiconductor is given by k= ω ω(n r + ikr ) 2π (n r + ikr ) = ≡ , c/n ∗ c λ (7.3) (7.2) where c and λ are the velocity and wavelength of light in free space, respectively, and nr and kr are the real and imaginary parts, respectively, of n ∗ , the refractive index of the semiconductor. Substituting for k in (7.2) gives E y (x) = E0 ei2π nr x/λ e−2π kr x/λ . (7.4) From this equation it is clear that the attenuation of the electromagnetic wave is related to the presence of an imaginary part in the refractive index of the semiconductor. We are interested in the optical power density (W m−2 ), and in electromagnetics this is given by the Poynting vector S=E×H, (7.5) where H is the magnetic field intensity, and the symbol S is consistent with that used for the energy-density flux in formulating the Hydrodynamic Equations in Section 5.2.2.2 For a uniform plane wave, travelling in the x-direction, the magnitude of the power density is Sx = E y Hz = µ Ey 2 = µ ∗ E y (x)E y (x) = µ 2 E0 e−αx , (7.6) where and µ are the permittivity and permeability of the semiconductor, respectively, and the absorption coefficient α is given by 4π kr . (7.7) λ The absorption coefficients of some semiconductors used in the manufacture of solar cells are given in Fig. 7.3. Note how α for the indirect bandgap material Si increases α= 2 Recall that the units of energy-density flux (J m−2 s−1 ) are those of power density (W m−2 ). 7.3 Generation 119 absorption coefficient (cm−1) 106 105 104 103 102 101 100 0.5 GaAs 1 1.5 2 energy (eV) 2.5 3 3.5 InP a-Si CulnSe2 CdTe c-Si Figure 7.3 The energy dependence of the absorption coefficient of some semiconductors commonly used for solar cells. ‘c-Si’ is crystalline silicon, and ‘a-Si’ is amorphous silicon. From Markvart and Castener [2], C Elsevier 2005, Oxford, U.K., reproduced with permission. less rapidly above its bandgap energy than does α for the other semiconductors shown, which are direct bandgap materials. 7.3 Generation The power density S at some free-space wavelength λ can be translated into the flux of photons at that wavelength by dividing by the photon energy hc/λ. Let us call this photon flux (λ); it has units of photons/m2 /s. From (5.8), the balance equation for monochromatic photons within the semiconductor can be written as ∂ P(λ) (7.8) + ∇ · (λ) = Rrad (λ) − A(λ) , ∂t where P is the volumetric photon density, Rrad is the generation rate of photons within the semiconductor due to the radiative recombination of previously generated electronhole pairs, and A is the rate of loss of photons due to absorption of photons within the volume. For a solar cell, we would like all of the absorbed photons to create separable electron-hole pairs, i.e., A(λ) = G op (λ), the generation rate discussed in Section 3.1.2. In practice, unwanted absorption mechanisms that could arise are: G f c , excitation of already-free carriers (see Fig. 7.4a); and G ex , generation of electron-hole pairs that remain bound together by Coulombic attraction (see Fig. 7.4b). The bound electron-hole pair is called an exciton. It is unwanted in a solar cell because it moves through the device as a neutral entity, so it does not contribute to the current. Thus A = G op + G f c + G ex , from which an internal quantum efficiency can be defined: G op = ηint A. (7.10) (7.9) 120 7 Solar cells EC EE (a) (b) Figure 7.4 Unwanted results of photon absorption. (a) Free-carrier absorption. (b) Exciton formation. Coulombic attraction to the hole prevents the excited electron from gaining the conduction band. E E can be viewed as the first excited state of a one-electron system. (E C − E E ) is the exciton binding energy; if it is much less than k B TL , then the exciton will be short-lived. In steady-state, for a beam of sunlight travelling in 1-D, an expression for G op can be obtained from (7.10), (7.8), and (7.6): √ G op (λ) = ηint (λ)α(λ) 0 (λ)e −αx , (7.11) 2 where 0 = /µE0 / hc/λ is the photon flux in m−2 s−1 as it enters the solar cell. Summing the monochromatic generation rates in silicon for the AM1.5G spectrum, Fig. 7.5 is obtained. Note the high generation rate near the surface of the cell. The roll-off is exponential for all wavelengths, but is particularly pronounced at shorter wavelengths where α is high. This has a big impact on the generation profile because the peak photon density occurs at the relatively short wavelength of about 500 nm (see Fig. 7.2). 7.4 Photocurrent The current resulting from the photon absorption is called a photocurrent; to create it, the photo-generated electron-hole pairs must be separated, and made to flow in opposite directions. This is achieved by having a built-in field within the structure, and is most obviously implemented by making a pn diode. The major questions that need to be answered for the design of this diode are: how deep into the device should the metallurgical junction be placed; should the top part of the diode be p- or n-type; what should the doping densities be for the two regions? The answers to these questions can be obtained by scrutinizing Fig. 7.5. Because the generation rate decays exponentially on moving into the cell, then the junction should be 7.4 Photocurrent 121 10 Generation rate (cm −3s−1) 22 10 21 10 20 10 19 10 18 10 17 0 50 100 150 200 Silicon depth (µm) 250 300 Figure 7.5 Generation-rate profile in Si for AM1.5G sunlight. Evaluated from (7.11) using spectral irradiance data from Fig. 7.2, and absorption coefficient data from Ref. [3]. placed very close to the front surface. Thus, the top part of the semiconductor, which is called the emitter, is thin. Recall that the current must take a lateral path to the metallic contacts on the front surface (see Fig. 7.1), so the emitter must be heavily doped to avoid unwanted, parasitic series resistance. The other, lower part of the diode is called the base; it needs to be relatively thick to collect the long-wavelength photons, and to provide mechanical strength for the solar cell. Minority carriers generated in this region may have to travel relatively long distances to the junction, so we should choose the doping type of the base to give us the more mobile minority carrier. For major solar cell materials such as Si and GaAs, this means that the base should be p-type (see Fig. 5.3). Hence, a solar cell is a shallow-junction, n + p diode, where the superscript ‘+’ means ‘heavily doped’. The energy band diagram for the solar cell is shown in Fig. 7.6. Because the generation rate decreases with distance into the cell, both electrons and holes in both of the quasineutral regions diffuse to the right. In the emitter, separation of the carriers occurs at the junction, where the electrons are reflected by the built-in potential barrier. To achieve the same effect for carriers generated in the base, a back-surface field is created by heavily doping the end of the p-type region. In fact, there is also a field at the front surface of the cell, often due to the donor density gradient, which occurs naturally during the diffusion doping process. In the remaining region of the cell, the space-charge region, carriers generated therein are automically separated by the built-in junction field. 7.4.1 Surface recombination velocity To characterize the effect of the surface fields on minority carriers, the concept of a surface recombination velocity is used [4]. To paraphrase Shockley, the creator of this 122 7 Solar cells EC EV x=0 xj xj + W B Figure 7.6 Energy-band diagram for a solar cell showing the separation of electron-hole pairs that are generated in each of the three major regions of the device: the emitter, the space-charge region, and the base. Minority-carrier-reflecting fields at the front and back surfaces, due to n + n− and pp+ −junctions, respectively, are also shown. concept: the rate of recombination of electrons at the surface is the same as if a flux of electrons of density (n − n 0 ) were drifting with an average velocity S into the surface and being removed. Thus, in the quasi-neutral base at x = B (see Fig. 7.6), the electron current is diffusive, and we have − De d dn (n − n 0 ) = −De ≡ S(n − n 0 ) , dx dx (7.12) where S is the surface recombination velocity: it is always positive and has units of m/s. Two extreme values of S neatly characterize ohmic and blocking contacts at some boundary x = B: Ohmic: Blocking: S = ∞, n(B) = n 0 , but Je is finite, S = 0, n(B) > n 0 , but Je is zero. In solar cells we would like to have blocking contacts for the minority carriers so that electrons in the base and holes in the emitter are reflected towards the metallurgical junction. 7.4.2 Emitter photocurrent To derive an expression for the component of the photocurrent due to generation in the emitter quasi-neutral region, we focus on the diffusion of minority carrier holes. Further, we assume that there are no large gradients in the kinetic energy per carrier. Thus, from our master set of equations (5.24), we have, at steady-state and for the region 0 < x < x j 7.4 Photocurrent 123 identified on Fig. 7.6 0=− p − p0 1 d JhE + G op − q dx τh dp dp ≡ −q Dh . dx dx (7.13) JhE (x) = −k B TL µh These equations are to be solved with the boundary conditions Dh dp dx = S F ( p(0) − p0 ) x=0 p(x j ) = p0 , (7.14) where S F is the front-surface recombination velocity, and the second boundary condition implies that all excess minority-carrier holes reaching the edge of the space-charge region are swept across the junction by the built-in field. Using (7.11) for G op and assuming an internal quantum efficiency of 100%, the solution for monochromatic light of wavelength λ is JhE (λ, x j ) = q 0 αL h α2 L 2 − 1 h − αL h e−αx j + Hh + αL h − e−αx j (Hh cosh Q h + sinh Q h ) , Hh sinh Q h + cosh Q h (7.15) where Q h = x j /L h , Hh = S F L h /Dh , with L h being the hole, minority carrier diffusion length. 7.4.3 Base photocurrent The photocurrent arising from the minority carrier electrons generated in the base can be derived in a similar manner to that described for the hole current in the emitter. The answer is JeB (λ, x j + W ) = q −α(x j +W ) 0 αL e e α2 L 2 − 1 e × αL e − He (cosh Q e − e−α B ) + sinh Q e + αL e e−α B He sinh Q e + cosh Q e , (7.16) where B = B − (x j + W ), Q e = B /L e , He = S B L e /De . 7.4.4 Space-charge-layer photocurrent In the space-charge layer we can reasonably assume that all carriers generated therein are separated by the built-in field. Thus, in deriving the current due to photogeneration in this region we can consider either electrons or holes. The derivation is much simpler than for the photocurrents in the quasi-neutral regions because we can assume that the 124 7 Solar cells carriers are swept out of the space-charge region so quickly that there is no opportunity for recombination to occur. Thus, using electrons for our derivation, the relevant equation from our master set reduces to 0= 1 d JeD + G op = 0 , q dx (7.17) where the superscript ‘D’ indicates that we’ll use the Depletion Approximation to estimate the width W of the space-charge region. Thus, integrating over x j < x < (x j + W ) and, once again, assuming perfect internal quantum efficiency, the result is JeD (λ, x j ) = q 0e −αx j 1 − e−αW . (7.18) 7.4.5 Total photocurrent In the above derivations, two photocurrents are specified at x = x j , and the other (JeB ) is specified at x = (x j + W ). However, we can reasonably assume that JeB has the same value at x = x j , because we don’t expect any recombination to occur as the electrons are swept across the space-charge layer. Thus, we can add-up our three components of current to get the total photocurrent density JPh at any particular wavelength: JPh (λ) = JhE (λ, x j ) + JeD (λ, x j ) + JeB (λ, x j + W ) . (7.19) By summing over the wavelength range of terrestrial sunlight, we get the total photocurrent JPh = AM1.5G JPh (λ) . (7.20) An example of the three regional components of the spectral photocurrent for a Si solar cell is shown in Fig. 7.7. The specifications for the cell are listed in the caption to the figure. The total photocurrent density in this example is 39 mA cm−2 . It may surprise you to learn that the largest contribution comes from the region furthest from the front surface. This calculated value of JPh is very close to the present (2009) world record of 42.2 mA cm−2 for a Si solar cell [6]. We assumed the favourable conditions of no reflection of sunlight from the front surface of the cell, and 100% internal quantum efficiency for the conversion of light entering the cell. The fact that the experimental value of JPh is even higher than our calculated value indicates that the practical cell has outstanding minority-carrier- and photon-collection-properties. The latter is achieved by having a double-layer anti-reflection coating and by etching the front surface to give it an ‘egg carton’-like topography (see Fig. 7.8). Sunlight hitting one sloping side is partially transmitted into the cell and partially reflected to the opposite sloping side, offering the light another opportunity for transmission into the cell. On the front and back semiconductor surfaces of the practical cell a thin insulating layer of silicon dioxide has been grown to provide a blocking contact, and to passivate the surfaces to reduce 5 × 1016 cm−3 .7 Components of the spectral photocurrent density under AM1.29 cm2 s−1 . S B = ∞. From Jhao et al. reproduced with permission. reduces the number of recombination sites.2 µm. and the surface is said to be passivated. ex-UBC. C 1997 IEEE. often by hydrogen incorporated in the oxide.5G illumination for a Si solar cell with the following specifications: x j = 200 nm.8 Schematic of the solar cell structure of the present holder of the world-record efficiency for a Si cell. B = 450 µm. This creates localized energy levels within the bandgap that serve as traps to facilitate electron-hole recombination. [5]. L h = 7. De = 27 cm2 s−1 .4 Photocurrent 125 700 Spectral photocurrent (A m−2 µm −1) 600 500 400 300 200 100 0 200 Base Emitter Depletion region 400 600 800 Wavelength (nm) 1000 1200 Figure 7. It is probable that the minority-carrier lifetimes in the practical cell are longer than the 3 At the surface of a crystalline semiconductor there are bonds that are incomplete because of the interruption to the periodicity of the structure. The minority carriers are collected through small ‘windows’ in the oxide via heavily doped regions. Courtesy of Garry Tarr. L e = 164 µm. The completion of the bonds. . N A = 1. S F = 1 m s−1 . finger “inverted” pyramids p+ n+ p+ n p-silicon p+ oxide p+ rear contact oxide Figure 7. which form the surface-field regions discussed above. Dh = 1. N D = 5 × 1019 cm−3 .7. recombination3 . The question now arises: can the forward-bias current be estimated using a diode equation of the general form developed for the ideal ‘dark’ diode in Section 6.29) at the edges of the space-charge region.6? In other words.126 7 Solar cells values we have used in Fig.D = J0. It turns out that these provisos are met for unconcentrated sunlight at the Earth’s surface [7]. x=B (7. (7. and is of the form J D = J0 [e VL /Vth − 1] . If we ignore any current change in the space-charge region due to recombination in that region. (7. A similar expression can be derived for the holes injected across the forward-biased junction into the emitter. i. the total dark current is the sum of the two injected currents. When this current is led to an external circuit containing some load. a voltage is developed across the load such as to forward bias the diode. and a boundary condition like (7.21) where S B is the back-surface recombination velocity. thereby generating a current that opposes JPh . and VL j is that part of the load voltage that is dropped across the junction: in the absence of series resistance we can take it to be the load voltage VL . giving further reason for the superior performance of the real cell.. providing the illumination is not so intense as to (a) cause the quasi-Fermi levels in the space-charge region to deviate significantly from constant values. for electrons injected into the base. Applying superposition. the price paid for generating a voltage is the loss of some net current. we have n(x j + W ) = n 0 p e VL j /Vth −De dn dx = S B (n(B) − n 0 ) .e [e VL /Vth − 1] . Thus. 7. can the current due to an external bias applied to an unilluminated diode be simply added to the photocurrent to give the total current of a self-biased illuminated diode? The answer is ‘yes’.7.12) at the contact-ends of the quasi-neutral regions. 7. R L for example.e. the load current JL is simply JL = JPh − J D . For example. at x = B. So the diode dark current can be computed using the boundary conditions from (6. The result for the dark current due to electrons emitted into the base is of the general form Je.22) where the subscript D identifies the dark current.5 Photovoltage The electrons and holes constituting the photocurrent flow in the direction of a reverse bias current.24) (7.23) . and (b) cause the minority carrier lifetime to change from its value ‘in the dark’. and the saturation current density has been written with a subscript that is different from the ideal-diode case in order to recognize that different boundary conditions are appropriate. and the output characteristic of the solar cell is just a displaced exponential. (7. The load absorbs power. so we can rewrite J0 as J0 = Ce−E g /k B T .5 Photovoltage 127 4 3 2 Load current (A) 1 No illumination 0 −1 IPh −2 AM1.6 0.4 0. When the dark current exactly negates the photocurrent.5 Load voltage (V) 0. as illustrated in Fig. let us write J0 = C E pn0 + C B n p0 = CE CB + ND NA n i2 .26) and the effective densities of states from (4.7 0.26) JPh + J0 . (7. the open-circuit voltage becomes Voc ≈ Eg − Vth ln q C JPh .8 Figure 7.9. . and N D and N A are the appropriate doping densities.5 illumination −3 −4 0 0. Thus.25) where C E and C B include the minority-carrier properties of diffusion length and surface recombination velocity for the emitter and base. J0 (7. and the load voltage is known as the open-circuit voltage Voc .3 0.28) from which it is clear that the bandgap imposes a limit on Voc .9 Solar cell I-V characteristic. The intrinsic carrier concentration n i depends exponentially on the bandgap.7.1 0. respectively. Thus. Voc = Vth ln To examine the limits of Voc . exponential diode current. of course. The polarity of the load current has been chosen to emphasize that the solar cell generates power (I V < 0). illustrating the superposition of the photocurrent and the usual. (7.19). we have effectively opencircuit conditions. and that this limit is more closely realized for larger photocurrent densities. (I V > 0).2 0.27) where the constant C includes the bracketed terms in (7. 7. 10 Power-voltage characteristic for a 10-cm diameter Si cell with JPh = 40 mA cm−2 and 7. This factor is somewhat less than unity because the exponential form of the solar cell’s I-V characteristic is only an approximation to the perfect characteristic that would have JL = Jsc = JPh for all VL < Voc . the solar cell’s I-V curve is in the 4th quadrant.12. 7. where the current is negative and the voltage is positive. respectively. being an inherently low-voltage device. and from the horizontal path shown on Fig. as Fig.8 −1 −1.2 0. The former is taken to be more important in the equivalent-circuit representation of the solar cell in Fig. 7.1 of the current through the thin emitter.11 illustrates.2 −0. Series resistance can come from the vertical path taken by the current through the thick base region. is particularly sensitive to series resistance. and is given by Pmp = Jmp Vmp ≡ F F Jsc Voc .8 .6 −1.6 0. The solar cell.5. the power is negative.1 Ω. indicating generation.29) defines the fill-factor F F.8 0 No parasitic R Rs = 0. The presence of parasitic resistances in the cell can cause |Jsc | to be less than |JPh |.29) where Jmp and Vmp are the current density and voltage at the maximum power point and Jsc is the current at short circuit.6 −0. Thus.7 0.128 7 Solar cells 0 −0. Figure 7. we see that for 0 < VL < Voc . 7. rather than the more usual dissipation.3 0.1 0. Voc = 700 mV The current and voltage at the point of maximum power generation are commonly called Imp and Vmp . Equation (7.5 Load voltage (V) 0. The presence of parasitic resistance in the cell affects the maximum power point.1 Photovoltaic power From Fig. it is expressed as a density in W/m2 . Rsh = 10 Ω Generated power (W) 0. In other words. The power characteristic is shown in Fig. as illustrated here. The power at the point of maximum power generation is Pmp . and from any conductivity along the vertical edges of the device. as is evident from the placement of Rsh .2 −1.9. Rs is series resistance and Rsh is shunt resistance.4 −1.4 0. Shunt resistance arises from internal imperfections at the junction. (7. 7.10. 7. FF recognizes that a real cell makes the transition from JL = Jsc to JL = 0 in a .4 −0. but the peak is quite broad. so silicon is not far from being the theoretically optimum material for a solar cell. Same cell parameters as in Fig. The result of these competing requirements for high Voc and high JPh is that the photovoltaic conversion efficiency η pv peaks at some value of E g . Unfortunately.10. if one seeks to improve Voc by choosing a material of high bandgap. less abrupt manner.4 eV. This ‘softness’ of the diode characteristic becomes less important as the bounding area JPh Voc is increased.5 Load voltage (V) 0.1. 7.5 −2 −2.2 0. See the caption to Fig.0.1 0. The results of detailed calculations are shown in Fig.5G (7. Rsh (Ω)] = [0. Rsh (Ω)] = [0. coupled with the mature state of silicon-device processing has led to near-maximum efficiency Si solar cells being realized in practice .5 [Rs . 7. and the efficiency is given by η pv = F F Jsc Voc .13.3 0.11 I-V characteristics when parasitic resistances are present.4 0.5 Load current (A) −1 −1.6 0. IPh RS IL RSh ID RL + VL Figure 7.5 Photovoltage 129 0.5 −3 0 0.7. SAM1.12 Solar cell equivalent circuit.9 regarding the choice of sign for the current.1. 1e6] [Rs . 1e1] 0 −0.7 0. then JPh will be reduced because of the increased transparency of the material to sunlight. Rsh (Ω)] = [0. 1e6] [Rs .8 Figure 7. This fact. 7.30) The peak in the maximum-efficiency curve occurs around a bandgap of E g ≈ 1. 04–1. (see Table 7. rather than being cut from Czochralskipulled-ingots.4–1. CIGS is a more promising thin-film material. Presently (2009).R.5 1.3 Semiconductor Si CIGS GaInP/GaInAs/Ge 35 Black-body limit (AMO) 30 25 Efficiency (%) AM1.7 Jsc (mA cm−2 ) 42. CIGS data from I.9 31. The efficiency of experimental multicrystalline Si solar cells has reached ≈20%. as measured in prototype solar cells under unconcentrated AM1.7 19.1 World-record values (as of 2008) for photovoltaic conversion efficiencies. Green. bandgap under various illumination conditions. From Green [8]. Si data from J.2 35.819 η pv % 24.392 FF 0.12 1. Eg (eV) 1. C Martin A. solar-cell systems utilizing single-crystal solar cells are still quite expensive. King et al. its bandgap is in the range 1. 4 Silicon is the second most abundant material in the earth’s crust.5G illumination.0 Voc (V) 0.5 Figure 7. Repins et al.706 0.0 Semiconductor band gap (eV) 2. [6]. In addition to its favourable theoretical properties and highly developed processing technology.1).0 1. from which thin-film solar cells can be made.6.4 Despite these attributes. [10]. The cost is largely due to the expense of purification and of growth of large-area single crystals. Many commercial silicon solar cells presently use multicrystalline material. silicon has the added advantage for a large-area device of being an abundant material.1−10 cm in wafers that are sawn from large blocks of cast silicon. .7 eV (see Section 7. α-Si refers to amorphous silicon. Zhao et al. which comprises crystallites of size ≈0.828 0. [9]. reproduced with permission. it ranks below oxygen.130 7 Solar cells Table 7.13 Estimates of maximum efficiency vs.3/0. tandem cell data from R.812 0.690 2.7 1.4 16.8/1.5 2.1).5 Si Cu2S GaAs α–Si:H α–Si:H:F 20 AMO 15 T = 300 K Ge Cds 10 5 0. (7. Unfortunately.e.04 eV (no gallium) to 1.5 µm Mo: 0. Instead.6 Non-silicon solar cells Two approaches to possibly economically viable solar cells involve thin-film cells or tandem-junction cells. therefore. Substitutional doping in this ternary compound is not easy to achieve. As Table 7. solar cells made from CIGS have a p-type base and use another semiconductor for the n-type emitter (see Fig. There is presently much speculation about whether this performance .31) where VCu denotes a copper vacancy.5−1 µm Glass. For example. In CIGS solar cells the n-type semiconductor has a large bandgap and. Metal Foil. CIGS. impressive efficiencies have already been obtained in laboratory specimens.14 Cross-section of a CIGS solar cell.. Plastics Glass Figure 7. Presently. if the growth conditions are adjusted so that there is a deficiency of Cu in the CIGS layer. and the activation energy E a is small. and the material becomes p-type.6 Non-silicon solar cells 131 CIGS ZnO.1 Thin-film solar cells For many years the dream of thin-film solar cells that could be deposited in situ onto large-area surfaces has been pursued. From Noufi and Zweibel [11].7 eV (no indium). The diode is.6. then the Se atoms surrounding the Cu vacancies will be lacking in electrons.13. Thus.03 eV . i. an interesting contender is copper indium gallium diselenide.1 indicates.14). Thus. ITO: 2500 Å ZnO/CdS CIGS Mo 2 µm CdS: 700 Å CIGS: 1−2. it spans the optimum range shown in Fig. does not absorb much sunlight. Se accepts electrons from elsewhere. 7. a heterojunction. The reaction is described by − 0 VCu = VCu + h + : E a = 0.7. reproduced with permission. it acts as a ‘window’ to allow the solar energy to penetrate directly into the absorbing CIGS film. Depending on the ratio of In to Ga in the material. the bandgap lies somewhere between 1. so excess carriers are created by deliberately encouraging the incorporation of vacancies in the deposited film. C 2006 IEEE. therefore. formation of an np-junction is not easy because the different types of defect that would be needed tend to compensate each other. The current in this type of junction is discussed in Chapter 9. 7. 7. 7. the extra 1 eV of photon energy will be turned into heat because phonons will be emitted as the excited electron scatters and loses energy (much like the situation shown in Fig. and it is absorbed more efficiently.9b for Auger recombination). The bandgap of the materials decreases from top to bottom. 7. more of the solar spectrum is absorbed.15 Tandem-junction solar cell concept. two or more solar cells of different materials are stacked together and connected serially (see Fig. three solar cells of different materials are connected in series. in the former case. absorbed in both a semiconductor with E g = 1 eV and a semiconductor with E g = 2 eV However.2 Tandem-junction cells In a tandem-junction solar cell. thereby reducing Voc and. η pv . The rise in temperature will increase the dark current. 3. 7. a 2 eV photon will be . so that photons that would normally pass through a high-bandgap cell and be lost are captured in the lower cells. In this way.15). For example.132 7 Solar cells n–emitter 1 p–base 1 n–emitter 2 p–base 2 _ V L = V1 + V2 + V3 + n–emitter 3 p–base 3 IL Figure 7. can be transferred to large-area cells and make photovoltaic power cost-competitive with conventional power. The bandgaps are E g1 > E g2 > E g3 . In this example.6. consequently. . which has a bandgap E g1 .2. but JPh is limited to the value for that of the cell within the stack that generates the least photocurrent.7 Prospects for terrestrial photovoltaic power generation 133 EC Eg2 EV Eg1 Figure 7. transportation fuel. It is debatable whether oil and gas should even be merely burned to raise steam to generate electricity. and then to collect the sunlight from a wider area using a concentrating lens. e. Voc is additive.16). the world’s generating mix was as shown in Table 7. oil. single-crystal solar cells is likely to be relatively high. so one possible practical implementation would be to use only small-area cells. more photogeneration occurs in the first cell. Because of the series electrical connection of tandem cells.7%.1. 7. yet kept cool at 25◦ C. The fossil fuels (coal. If this is not the case. In this example.911 V and 0. the tandem cell listed in Table 7.5 The cost of producing a stack of matched. when operated under a solar intensity equivalent to 240 Suns. This undesirable feature can be protected against at the extra cost of incorporating by-pass diodes in the photovoltaic module. Clever design would arrange for the thicknesses of the various parts of each cell to be such that JPh is the same in each cell.g. A dark current (dashed lines) is generated in this cell to reduce the net current to that of the photocurrent of the second cell. could lead to associated improvements in Voc and F F.875. reaching an annual consumption close to 30. The non-shaded cells develop a forward bias to reduce the net current. so that the net current in each cell is forced to be the same (see Fig. For example. and gas) form the major generating source. respectively. Their resources are finite and diminishing.7. Recombination occurs at the sites marked with crosses. rather than be used for some other purposes for which they are more uniquely suited. in turn.16 Two cells in tandem.. Coal-fired 5 This auto self-biasing of cells also occurs in series-connected strings of ordinary solar cells in a module if a shadow falls across one cell. then the cell producing the higher photocurrent becomes forward biased. The efficiency is increased to 40. which.7 Prospects for terrestrial photovoltaic power generation The world’s consumption of electricity is expected to double over the period 2004–2030. Where is all this electricity going to come from? In 2006. Such an arrangement would boost JPh . These fuels do not naturally regenerate on a time-scale that would permit them to be called renewable sources of energy.000 TWh. 7. . Solid lines indicate the paths of the photogenerated carriers. shows improvements in Voc and F F to 2. houses and commercial buildings are designed with roofs and walls that have solar cells incorporated into their structures.2 The installed capacity of various electricity-generating sources. r the diurnal nature of terrestrial insolation. In this scheme. The solar-generated electricity would not have to be used immediately by the owner of the host building. and would be under the control of the local electricity authority. and a major component of this cell’s cost derives from the need to produce material of sufficient quality to obtain long minority-carrier lifetimes. r large real-estate requirements. such as hydro or nuclear. Silicon is the most developed solar-cell semiconductor. geothermal. or be operated in conjunction with a more consistent and controllable source of power. it would add to power from a baseline source. The daily yield is unlikely to exceed 2 kWh m−2 (full sun for 10 hours per day at 20% conversion efficiency). thankfully. wind. It is encouraging that the governments of at least two countries in . which provided only 2% of the world’s electricity. nuclear-power generation is not without some well-known hazards. more stringent. However. This means that solar power plants must be backed-up with some storage capability (probably batteries). but many parts of the planet are not blessed with the rainfall and the terrain to facilitate the proliferation of this power source. From the International Energy Agency [12]. rather than being superficial additions. r high cost of manufacturing solar cells of reasonable efficiency (≈20%). and used wherever it was needed. However.134 7 Solar cells Table 7. such as biomass. Regulations governing the disposal of waste products from nuclear generators are. in 2006. Each building would then become a distributed generating site. photovoltaic power generation would seem to be an attractive proposition. The solar power wouldn’t necessarily be expected to meet the demand all of the time. Instead. it was lumpedin with ‘renewables other than hydro’. expressed as a percentage of the world total. One solar option that may be cost-effective to implement is building-integrated photovoltaics. Hydro power is the most benign of the present generating methods. due in part to the undesirable fact that their waste product (which contains a lot of CO2 ) is usually dumped into the atmosphere without treatment. Coal 40 Oil 7 Gas 20 Nuclear 16 Hydro 15 Renewables 2 electricity generation is growing enormously because of the abundant deposits in the rapidly developing nations of China and India. In view of the above shortcomings of conventional power sources. To add significant amounts of photovoltaic power to the generation mix requires a big commitment. Obstacles to the widespread utilization of photovoltaics include: r vested commercial interests in existing generating methods. Coal plants are relatively cheap. wave and tidal. The photovoltaic power would be transmitted around the grid. nor would it have to be stored in bulky batteries in the basement. 7 = αk 2 and E B − 1.66 µm long and 1 cm wide.5 The emitter of the cell in the previous question is 200 nm thick and has a doping density of 5 × 1019 cm−3 . A and B.5 × 1016 cm−3 .32) where W is the depletion-layer width.4 Consider a silicon solar cell made from a wafer of diameter 10 cm.2 The E-k relationships for the conduction bands of two semiconductor materials. The topcontact metal covers 10% of the front-surface area.1 A solar cell.7 shows the spectral photocurrent density for a Si np-junction solar cell with a base doping density of N A = 1. Under 1 Sun illumination.Exercises 135 the world. . (7.7 V (a) Compute I0 . each with spherical constant-energy surfaces. and the L’s are minority carrier diffusion lengths. 7. 7. with the top of the valence band at E = 0 and k = 0. Germany and Japan. The particular values used for the basewidth and the electron back surface recombination velocity are W B = 450 µm and S B = ∞. have begun to provide the incentives for citizens and industries to invest in photovoltaic power generation. Maybe you can start to lobby your government? Or perhaps you are too busy utilizing the material in this chapter to design a cheaper and more efficient solar cell? Exercises 7.3 Fig. (d) Evaluate the fill-factor FF and the conversion efficiency η pv . is irradiated such that the optical generation rate G op is uniform throughout the volume of the diode. . k > 0. the diode saturation current. and the open-circuit voltage is 0. The top-contact grid pattern is such that the series resistance of the cell can be represented by a slice of the emitter material that is 14. which operates in the dark like an ideal diode. photocurrent density is 40 mA cm−2 . where α is a constant.4 = 2α(k − k )2 . (a) Evaluate the series resistance Rs of the cell. Which material would make the better solar cell? 7. Would there be any significant effect on the photocurrent if the base properties were: (a) W B = 450 µm and S B = 0 ? (b) W B = 50 µm and S B = ∞ ? 7. Show that the photocurrent density is given by JPh = qG op (W + L e + L h ) . (b) Plot the I-V characteristic under 1 Sun illumination. can be expressed as E A − 0. 7. the . respectively. respectively. and the energies are in units of eV Both materials have the same valence-band structure. (c) On a separate plot show the power-voltage characteristic. An Investigation of Dark Current and Photocurrent Superposition in Solar Cells. Progress in Photovoltaics: Research and Applications. Keevers. Solid-State Electronics. Green. 471–474. 7. 1995. 3. IEEE 26th Photovoltaic Specialists Conf. 7.g.A. [4] W.6 A photovoltaic module is made by connecting in series two of the cells from the previous question. Manufacture and Operation. vol. to define 1000 strips. 1982. PA. Castener. Dicing the cell into strips results in some kerf loss. vol. 89. 7. so the final strips are 60 µm wide. 1950.G.1.A. 7. These strips are then laid flat so that they can be exposed to solar radiation over the cross-section of the cell.A. Tarr and D. Explain why the temperature is likely to rise in the shadowed solar cell. 1133–1136. vol. Fig. West Conshohocken. Evaluate the improvement in exposed front-surface area that this approach brings about.7% Efficiency PERL Cells on FZ Substrates. Pulfrey.astm. 2005. [2] T. Markvart and L. [3] M. D. with lines scribed on the front surface. Plot the P-V characteristic of the module. Imagine a conventional Si solar cell of diameter 10 cm and thickness 450 µm. Technology and System Applications. Standard Tables for Reference Solar Spectral Irradiance at Air Mass 1.8 An ingenious approach to possibly reducing the cost of photovoltaic power generation using crystalline solar cells results in a Sliver C cell [13]. 321.. p. 265–270. Electrons and Holes in Semiconductors. Elsevier. Ignore series resistance.org/Standards/G173. Inc. 24. A. 7.. Wang and M. 22.htm]. Campbell and M..136 7 Solar cells (b) Plot the new I-V characteristic for the cell on the same graph as for the cell with Rs = 0 from the previous question.. Available from ASTM International. 1999. Zhao. at 90◦ to the direction shown in Fig. A shadow falls across one of the cells so that 50% of its top surface is obscured.7% Efficient PERL Silicon Solar Cell Module with Textured Front Surface. 1979. 1997. e. Wang. 22. References [1] ASTM G173-03e1. Green.5: Direct Normal and Hemispherical for a 37 Degree Tilted Surface.J. but it also leads to the serious possibility of the shadowed cell burning out. Green and M. [5] Z. 189–192.A. Solar Cells: Operating Principles. [http://www. [7] N. p. Optical Properties of Intrinsic Silicon at 300 K.5% Efficiency Silicon PERT Cells on MCZ Substrates and 24. Progress in Photovoltaics: Research and Applications. (d) Evaluate the new η pv . Shockley. A. 100 µm apart. P. Green. 7.7 The situation described in the previous question leads to a loss of power at the load.L. (c) Plot the new P-V characteristic for the cell on the same graph as for the cell with Rs = 0. [6] J. Prentice-Hall. Jhao. pp. Solar Cells: Materials.Van Nostrand Co. [8] M. Proc. . and evaluate η pv . Weber. To and R. J. High Efficiency CdTe and CIGS Thin-film Solar Cells: Highlights and Challenges. Blakers. [12] International Energy Agency. H. 991–994.N. 40% Efficient Metamorphic GaInP/GaInAs/Ge Multijunction Solar Cells. [10] R. 2007. P..K. Egaas. G. [11] R.References 137 [9] I. B.A. Noufi. C. 2008. pp.. 2005. IEEE 4th World Conference on Photovoltaic Energy Conversion. S. K. Franklin. 235–239. Karam. R. Everett and E. B. Yoon. Deenapanray. ISBN: 92 64 10989 7. C. Fetzer. R. 16. pp. Repins.) [13] K. 19. 2006. Progress in Photovoltaics: Research and Applications. M. 2006. IEEE 31st Photovoltaic Specialists Conf. Contreras. Zweibel. Sherif and N.2% Fill Factor. King. Proc. vol.9% Efficient ZnO/CdS/CuInGaSe2 Solar Cell with 81. World Energy Outlook. C. (The IEA is the recognized authority on global energy growth. Edmondson.W.J. A. Appl. H. . Lett. Noufi and K. V. Scharf. 183516. Kinsey. M. D. M. Perkins. it publishes an outlook annually. Law. vol. A. 90. DeHart. 317– 320. Phys.L. Sliver c Solar Cells. C. we know that electrons tend to reside in states near the bottom of the conduction band.1 Voltage efficiency At equilibrium.1. contacts. and. This describes the overall efficency of the electrical-to-optical conversion process. r radiative efficiency. there is conversion of electrical energy to optical energy. the LED is the complement of the solar cell. This relates to getting the photons out of the semiconductor in which they are generated. but we can expect that the energy of any photons resulting from radiative . r wall-plug efficiency. We then go on to discuss the features of white-light LEDs. and that holes preferentially reside near the top of the valence band.8 Light-emitting diodes The light-emitting diode (LED) is a pn-junction diode in which radiative recombination is encouraged to occur under forward-bias operating conditions. This relates the current due to recombination in the desired part of the device to the current due to recombination elsewhere. This relates to the relative amounts of radiative recombination and unwanted. In the example shown in Fig. high-brightness LEDs. and specifications on doping and purity. Thus. In the first part of this chapter. in some cases. 8. be covered entirely by the top metallic contact. This relates the applied voltage to the bandgap of the semiconductor. we develop an understanding of the LED by considering a number of efficiencies that relate to the various stages of the conversion of electrical energy to optical energy: r voltage efficiency. Consideration of this efficiency largely determines the substrate. therefore. In essence. Consideration of this efficiency leads to the heterostructural design that is a feature of modern. 8. These dispositions will be altered somewhat by the application of a forward bias to a pn-junction. non-radiative radiation. the internally generated photons escape through the top surface. It leads to material selection (direct bandgap). r current efficiency. r extraction efficiency. The latter would be chosen to obtain the desired colour of emitted light. and conclude with a short appraisal of the prospects of LEDs making an impact in the area of general lighting. which cannot. the shape of the device. Semiconductor Gax In1−x N Eg (eV) 2. recombination will be close to that of the bandgap E g (see Exercise 8. Evidently.8. Equation (8. illustrating how injection of electrons and holes into the space-charge region leads to recombination and the generation of light. and ω is the radian frequency of the generated light.98 λ (nm) 470 525 590 610 625 Colour blue green yellow orange red (Alx Ga1−x ) y In1−y P p+ p− n+ + Figure 8. We define a voltage efficiency as ηV ≡ ω Eg ≈ .36 2.1) defines the applied voltage required to get a voltage efficiency of unity when using the semiconductor that has been chosen for a particular frequency of light output. Some properties of the two material systems from which today’s high-brightness LEDs are made are listed in Table 8. The bandgap is increased by increasing the mole fraction x of Ga in the GaInN system and of Al in the AlGaInP system.1 The basic LED. q Va q Va (8.27)).1) where Va is the magnitude of the applied forward bias.1 Bandgap and emitted-light properties for two material systems used for high-brightness LEDs. because of the exponential dependence of the carrier concentrations on potential (see (6.1 Voltage efficiency 139 Table 8.1. employment of Va < ω/q would lead to higher voltage efficiences. but.64 2.03 1.6).10 2. the use of such low biases would not prove helpful in obtaining a high overall efficiency. . in steady-state and with no non-thermal generation of electron-hole pairs.24) the electron current density. 8. − q dx τe (8. Radiative recombination is encouraged in the low-bandgap material.2 Energy-band diagram of a forward-biased P + pN + heterojunction diode.8). We will discuss this heterojunction energy-band diagram in more detail in the next subsection. This structure uses a low bandgap material sandwiched between two higher bandgap materials to form a potential well in which the minority carriers are trapped. the minority-carrier concentrations will be elevated above their equilibrium values over distances that extend from the space-charge layer by several minority-carrier diffusion lengths (see Fig. 8. the minority carriers must be concentrated into a smaller region. From our master set of equations (5. consequently. To obtain more intense photon generation. An example of such a heterostructure diode is shown in Fig. Consider the current due to electrons that are injected from the N-region and recombine in the active region with holes that are injected from the P-region. can be found from n 1 d Je = 0.2) . photon generation will occur over a length of the order of microns. after we have defined the current efficiency.2 Current efficiency The rate of radiative recombination is proportional to the local p(x)n(x)-product (see Section 3.2). The region of carrier confinement is called the active layer.and n-regions of the diode. This is achieved in modern high-brightness LEDs by using dissimilar materials for the p.2. the intensity of the generated light. Thus. thereby increasing their concentrations and. 6. In a forward-biased diode.140 8 Light-emitting diodes E0 Electron energy EC El EFn EFp EV 0 H x Figure 8.1. For illustrative purposes we’ll focus for the moment on the Alx Ga1−x As system. Also. ‘Vertical’ resistance dominates in this device because there is little lateral current. In fact.4. and n-type material is preferred for this region in order to minimize series resistance. Such recombination is particularly undesirable in an LED because it is likely to be non-radiative (see Section 3.1 Heterojunction diodes A prerequisite for a practical semiconductor/semiconductor heterojunction is that there should be a good match between the lattice constants of the two materials. it is desirable to have a barrier at the active/N-region junction to prevent the escape of holes from the active region. 8. In an LED it is not necessary to have the junction region extremely close to the surface because the photons are all of energy very close to the bandgap.4) Ideally.2. causing recombination of electrons and holes via intra-bandgap states.7. Otherwise. To reduce Je (0) it is necessary to prevent electrons from escaping into the P-region. For drawing the energy-band diagram.1. we would like Je (0) → 0 as this would mean that there was no electron recombination in the P-region.5) where J D is the total current density of the diode. LEDs are usually p-on-n diodes. because after that the smallest bandgap in AlGaAs becomes indirect. there will be defects at the interface. as can be seen from Fig. q dx (8. which are usually n-on-p diodes. the current efficiency of an LED is defined as ηC = [Je (H ) − Je (0)] . This is best accomplished by making a large energy barrier for electrons at the interface between the active region and the P-region. gives good lattice matching to GaAs over almost the entire compositional ratio. so the current is large and series resistance is to be avoided in order to obtain the desired junction voltage. which we take to be bounded by x = 0 and x = H . and introduce the radiative recombination lifetime H 0 n τrad dx = τe 1 τrad q H 0 d Je τe 1 [Je (H ) − Je (0)] . unlike solar cells. and the LED has a much smaller cross-sectional area than a typical solar cell. dx = dx τrad q (8. and so the relevant absorption coefficient is low. recall that the LED operates in forward bias. the . H 0 n τe dx = 0 H 1 d Je dx . Similarly.8. 8.2). which.3) Assume that the minority carrier lifetime is uniform over the active region. Thus. These attributes can be realized by implementing heterojunctions of the Type-I variety discussed in Section 6.3. For mechanical reasons the bottom layer of the LED is likely to be thick. for LEDs we’re only interested in mole fractions up to about x = 0.2 Current efficiency 141 Integrating over the active region. JD (8. 8688 Å matched to InP 20 10 InAs 6. C 2000 IEEE. 8. The carriers injected from the neighbouring AlGaAs regions are confined by the interfacial potential barriers.142 8 Light-emitting diodes 2.7.9 6 Lattice Constant (Å) Figure 8. 8. first of all. From Schwierz [1]. we need to ensure that the recombination is predominantly radiative.1.3 Bandgap dependence on composition of some binary.1). as discussed in Section 6.2.79x eV eV .79x eV and E V = 0. 0.5 Si 1 GaAs 90 80 70 InP 60 Ge 0. ternary and quaternary compound semiconductors.424 + 1.2 and Section 6.4 5.1 0 5. to obtain a high rate of radiative .46x eV These offsets are discontinuities at the band edges. which give the energy-band diagram for a heterostructure a different appearance from that for a homojunction.6 5.5 5.6) From these it follows that the band offsets between AlGaAs and GaAs are E C = .5 a = 5. The procedures for constructing the band diagram are the same as listed in Section 6.247x χ (x) = 4. Secondly.2 is for a P + -AlGaAs/p-GaAs/N + AlGaAs LED operating under forward bias.8 5.4 are E g (x) = 1.1. that the active material should be a direct bandgap semiconductor (see Section 3.6533 Å matched to GaAs 50 40 30 a = 5. thereby encouraging recombination of electrons and holes in the active region. Because the electron affinity for GaAs is greater than that of AlGaAs. This means. The example shown in Fig.07 − 0. (8.3 Radiative recombination efficiency Now that we have engineered a situation in which recombination is confined to a well-defined active region.3.5 AIP GaP 2 AIAs Bandgap (eV) 1.7 5. reproduced with permission. relevant properties are the bandgap and the electron affinity. The mole fraction dependencies of these two parameters for x < 0. the active region becomes a ‘potential well’. This means a high level of injection into the active layer of both electrons and holes must be established. where the radiative efficiency for GaAs is plotted as a function of the excess electron concentration. x < 0. 8. The various lifetimes were evaluated using the equations in Section 3. For example.8) .4 and the recombination parameters from Table 3.5 as beyond that AlGaInP has an indirect bandgap. 8.24).2).18). and their superior minority-carrier mobility ensures a more uniform carrier distribution in the active layer and. high concentrations of both types of carrier are needed (see (3. Some idea of attainable values for ηrad can be obtained from Fig.2. 1/τe τrad (8.7) where τe . the quaternary material (Alx Ga1−x ) y In1−y P is lattice-matched to GaAs at y ≈ 0. from Fig.5.8. the net rate of recombination for electrons is given by n/τe . The extraction efficiency is defined as the ratio of the optical power that actually escapes from the structure to the optical power that is generated within the diode ηext = Sout . From (3. Sgen (8. the active layer must be of high crystalline perfection. which necessitates the forward-biasing of the device (see Fig. By comparison. of course.4. consequently. The radiative recombination efficiency is given by ηrad = 1/τrad τe = .4 Extraction efficiency 143 recombination. To reduce the former. and that the parasitic resistances of the quasi-neutral regions are low. This means that the active-layer material must be lattice-matched to the substrate material on which it is epitaxially grown. This ensures that the space-charge region of the diode is contained largely within the active layer.19)). 8.3. The principal non-radiative contributors to τe are RG-centre recombination and Auger recombination. The situation would be helped. so the p-type doping density in the active layer is usually kept low (≈ 1016 cm−3 ). The matching is maintained for varying values of the Al mole fraction x. is given by (3. a more spatially uniform generation of photons.4 Extraction efficiency The final stage in the electrical-optical conversion process is to get the internally generated photons out of the device.1. 8. if the rate of RG-centre recombination could be considerably reduced.2. as the top curve indicates. Notice that high-level-injection conditions have to be attained before ηrad becomes appreciable. In practice. Incorporation of dopants inevitably introduces defects into a crystal. the doping densities in the adjoining confinement layers are one or two orders of magnitude higher. as discussed in Section 3. the total minority-carrier lifetime for electrons. The background doping density of the active layer is usually chosen to make the region p-type: in this way electrons are the minority carriers. 4 0.8 0. and the resulting structure is planar. and if the light is taken to emanate from a point source. For the top curve. GaAs has a refractive index of about 3. The situation is illustrated in Fig. Thus. The recombination parameters of Table 3.1 were used for the bottom curve.6.5.10) For a point source in GaAs. at the semiconductor/air interface. and wedge-shaped diodes. who also discusses designs with reflecting bottom surfaces to improve the light output from the top surface. is small. from which the following expression can be deduced: Sout = Sgen 2πr 2 (1 − cos θc ) . the light source is more like a plane than a point.5 ≈ 17◦ .9) The spontaneous light emission from an LED is omnidirectional.RG was increased by a factor of 10. so the extraction situation is not as bad as just described. this means that only about 2% of the optical power would escape into the surrounding air! The semiconductor layers in an LED are usually deposited epitaxially onto a substrate. from Snell’s Law θc = arcsin 1 3. Here. Shaping of the semiconductor die by sawing is possible. we briefly describe the innovative structure shown in Fig. 4πr 2 (8. (8.RG = 10 ns 0. Extracting the light is inherently difficult because the relatively large value of refractive index for most semiconductors means that the critical angle θc . it is easy to estimate the optical power that exits through a segment of a spherical surface defined by a polar angle of θc . Chapters 9. τe. 8. 8.6 te.RG = 1 ns 0 15 10 10 16 10 ∆n (cm− 3) 17 10 18 10 19 Figure 8.4 Radiative recombination efficiency for p-type GaAs of doping density 1016 cm−3 .144 8 Light-emitting diodes 1 Radiative recombination efficiency 0. in which totalinternal reflection is reduced by effectively increasing θc have been reported.10]. . For example. beyond which total internal reflection occurs.2 te.5. Details are given by Schubert [2. so. A. 89. and are bonded to a ceramic substrate of high thermal conductivity. Reused with permission from O. The entire chip is then flipped over so that the roughened surface becomes the top of the LED. The internally generated light is guided by each cone via several internal reflections. Steigerwald. Margalith. The contacts to the front and back regions of the device are made from the bottom. Krames.8. T. so it is desirably transparent. We’ll meet this impressive LED again in Section 8. Epler. In the reference cited. T.10) with θ = θc .7 in the context of white light emission.E. D. Martin and M.O. There are no contacts on the textured front surface.R.5 Light emitted from a point source and emerging from a spherical surface only through the shaded area. 7. The bottom layer (n-GaN) is then subjected to an anisotropic etch that results in a texturing of the surface that is similar to that employed in the high-efficiency solar cell of Fig. Shchekin. This is an InGaN/GaN LED. J.8. The fraction of emitted light to generated light is given by (8. Applied Physics Letters. Trottier. American Institute of Physics. until the light emerges near the tip of the cone.S. the semiconducting layers of which are grown on a substrate that is subsequently removed by etching. P. .4 Extraction efficiency 145 r q Figure 8. M. Copyright 2006. Holcomb. after each one of which the angle of incidence becomes more nearly normal.A.B. Roughened n-GaN MQW active region n-GaN p-GaN Metal anode/cathode contacts Ceramic Submount Figure 8. 071109 (2006) [3]. very high brightness was reported for blue-green versions of this diode.6 LED with pyramidal front surface and flip-chip mounting. 146 8 Light-emitting diodes 8. (8. the optical power perceived by the eye can be expressed in watts as λ γ Sout (λ) dλ. The eye is most sensitive to the colour green.13) λ The luminous efficacy measures the effectiveness of the eye in perceiving optical power: luminous efficacy = (lm/W) . (8. where the prime signifies power. In practice. and Sout (λ) is the spectral power density in W/m. as would be recorded by a calibrated photodetector and a wattmeter. and a value of 56% has recently been reported [4]. respectively. one that involves the objective physical properties of power. and. More commonly.e. Pin (8.6 Luminous efficacy and efficiency The wall-plug efficiency is an example of a radiometric measurement.14) Sout .e. the output optical power density can be written as Sout = (VD ηV ) (J D ηC ) ηrad ηext .11) where VD and J D are the applied bias and diode current density. the perceived optical power is usually expressed in units of lumens (lm). the extraction efficiency is presently limiting performance. it is the subject of intensive research and development. 8. rather than power density. by applying a conversion factor of 683 lm/W.. So.12) Wall-plug efficiencies for high-brightness LEDs are steadily improving. (8. figures-of-merit for LED optical performance are quoted in terms of photometric units. as can be seen from the plot of the eye sensitivity function γ in Fig..5 Wall-plug efficiency Combining all the efficiencies of the previous sections. High values of the other efficiencies can be obtained by: operating at a forward-bias voltage close to E g /q.7. i. using a heterostructure to confine the recombination to a well-defined active layer and operating at high current to enhance radiative recombination. the so-called wall-plug efficiency: Sout = ηV ηC ηrad ηext . i. As you will have probably deduced from the foregoing sections. Rearrangement of this equation leads to an expression for the overall efficiency of the electrical-to-optical conversion process. which was originally defined in terms of the light output of a standard candle. Thus. 8. This factor can be traced back to the old light-intensity unit of candlepower. S watts of optical power are perceived by the eye as lumens of luminous flux according to = 683 γ Sout (λ) dλ (lumens) . relating to optical power that is perceived by the eye. consequently. for monochromatic emission at 555 nm. Fig. Each is centred on a particular wavelength (red. For standardization and colourimetric reasons.7 White-light LEDs With the advent of blue-green InGaN LEDs.7 Eye sensitivity function.16) 8. it is now possible to obtain white light by mixing the output from such LEDs with the red light from AlGaInP LEDs. Outside of the visible range. C Cambridge University Press 2006. The final photometric property of interest to us is the luminous efficiency: it is also expressed in lm/W. green. or blue) and has some spectral content such that specific combinations of the intensities of the three sources can produce any desired colour.7. This opens up enormous opportunities for solid-state lighting in general-purpose applications. the luminous efficacy is 683 lm/W. 16. From Schubert [2. as indicated on Fig. 8. or blue light. three colour-matching functions have been developed.7 White-light LEDs 147 10 0 555 nm CIE 1978 Photopic vision 683 10 −1 100 Eye sensitivity function γ 10 −2 10 10 −3 1 10 −4 300 0. reproduced with permission. the luminous efficacy is essentially zero. (8. The human eye senses colour through rod and cone cells in the retina that are specifically sensitive to red.15) To relate our earlier radiometric property of wall-plug efficiency to these photometric properties. (8. and relates the perceived optical power to the electrical power supplied to the LED. note that luminous efficiency = wall-plug efficiency × luminous efficacy .7]. Combinations of certain intensities of these colours are perceived by the eye as white light. green. Thus luminous efficiency = I D VD (lm/W) . The Luminous efficacy (lm/W) . which occurs in the green part of the spectrum. Note that the function is normalized to the peak sensitivity. Thus.1 400 500 600 Wavelength λ (nm) 700 800 Figure 8.8. 8. span a sufficiently large wavelength range that the output appears white. The point at the centre of the white zone has chromaticity coordinates (x.148 8 Light-emitting diodes y-axis GaInN LED 525 nm (green) 520 nm GaInN LED 505 nm (blue–green) 500 GaInN LED 498 nm (blue–green) GaInN LED 450 nm (blue) AlGaInP LED 590 nm (amber) 540 AlGaInP LED 560 605 nm (orange) 580 CIE 600 700 AlGaInP LED 615 nm (red-orange) AlGaInP LED 626 nm (red) x-axis “Illuminant C” (White light) 400 Figure 8. C Cambridge University Press 2006. with one sequence of layers (confinement regions and active region). discrete diodes to obtain the additive colour mixing. x + y + z = 1. and it is only necessary to stipulate two chromaticity coordinates when specifying a particular colour. Such monolithic dichromatic structures. green.10]. are presently under development. as illustrated in Fig.. The x-coordinate. A practical example using the high-brightness flip-chip diode discussed earlier under a phosphor dome is shown in Fig. Rather than use separate. showing the colours of the light from various LEDs. The range of attainable colours is large. Consider the points on the chromaticity diagram of the 450 and 525 nm GaInN LEDs and of the 626 nm AlGaInP LED. the blue-green LED at 498 nm and the red LED at 626 nm. 17. 1/3). y) = (1/3. From Schubert [2. some of the diode-generated light is absorbed by a material and re-emitted at longer wavelengths. measures the ratio of the stimulus of the red cells in the eye to the total stimulus of all the colour cells to the entire visible spectrum. Now take two LEDs. This is the basis of the chromaticity diagram.8 Chromaticity diagram. The line between these two passes through the white zone. Join-up the points to form a triangle. respectively. indicating that some power ratio of these two diodes could produce white light. In wavelength conversion. it is possible to integrate diodes into a stack of layers. y. Thus. At the moment (2009). 8. and blue colour-matching functions are labelled x. chromaticity coordinates corresponding to the red. Fig. it is called the colour gamut. and even higher-order stacks of diodes. 8. e.9. The phosphorescent light and the residual shorter wavelength light can. Stimulation of a cerium doped yttrium aluminum garnet (YAG) phosphor by 460 nm light from a GaInN diode is one particular. and it includes white light. white-light LEDs usually employ wavelength conversion rather than additive colour mixing. z. The area within the triangle defines the range of colours that can be obtained by mixing different intensities of the light from each of the three diodes.g. useful combination. reproduced with permission. . collectively. for example. 8. This map is shown in Fig. giving a ‘cool-light’ experience. Tungsten-filament and quartz-halogen lamps have a CRI close to 100. From Shchekin and Sun [4]. and relatively energy efficient. as described in this chapter. but fluorescent lights have a considerably lower index. These startling figures stem from the poor wall-plug efficiency of conventional lamps. This fact has been recognized. High-intensity discharge lamps are relatively new. The generation of this amount of electricity was responsible for 7% of all the carbon emitted into the atmosphere in the US [6]. 8. Trichromatic white-light LEDs have been demonstrated with CRI> 90 [2. It follows that for LEDs to obtain high CRI values. 22% of the electricity consumed in the USA was used for lighting.8 Prospects for general-purpose solid-state lighting 149 Figure 8. ≈5% for incandescent lamps. and Philips Lumileds. As white-light LEDs penetrate into general-purpose lighting markets. and a roadmap to spur the development of white-light LEDs for general-purpose lighting has been drawn-up. the light from several different LEDs will have to be combined. which is excited by a gaseous discharge.. The fluorescence is from a phosphor. Note that ‘luminous efficiency’. so it is perhaps time for something new on the lighting scene.8 Prospects for general-purpose solid-state lighting In 2001. and research in this area is intense. that offers most promise for sustainable lighting. with its direct conversion of electrical energy to light. and ≈20% for fluorescent lamps. p.8. at least in the US. as . and the light emission lacks intensity in the red end of the spectrum. but it is solid-state lighting. 8. reproduced with permission of the publishers. resulting in the emission of white light. C 2007 Institute of Physics.6 emits into a YAG phosphor dome. and other light sources are measured relative to this. e. the author.9 The flip-chip InGaN/GaN LED of Fig. The former lamps originated in the 1870s. CRI.10. The ability of an illuminating light source to faithfully render the colours of the object being illuminated is called the colour rendering index. 338]. The Sun is characterized by a CRI of 100. the issue of colour rendering arises. and the latter in the 1930s.g. 10 Roadmap for the development of solid-state lighting. is sometimes confusingly referred to as ‘luminous efficacy of the source’. but the flux (brightness). Therein lies the challenge for future designers! . the first row of the table in Fig. cost and CRI are all in need of improvement. Thus. defined in this book. reproduced with permission. From the Table it is clear that the luminous efficiency and longevity of LEDs already exceed that of incandescent lamps.10 is what we have called luminous efficiency. C 2004 IEEE. 8. Projected performance of LEDs is compared with conventional lighting. Note that ‘luminous efficacy’ in this table is the same as ‘luminous efficiency’ as we have defined it.150 8 Light-emitting diodes Figure 8. From Tsao [5]. 51 P LED. Fig. where α is a constant. Which material would make the better LED? Fig. A and B. 8.7 = αk 2 and E B − 1.5 . there are two main factors to consider: (i) the joint density of states increases as E − E g . Suggest a possible reason for this ‘shift’ in wavelength.04 eV above the valence-band edge. 8. Radiative recombination can also occur between carriers not at the band extrema but with equal momenta k. 8.51 P/GaAs/ In0.6 Regarding the energy dependence of the emission intensity of an LED. at least for a Maxwell-Boltzmann distribution. A and B. analogusly to the density of states in (3. Although these diodes are not used commercially nowadays.2 8. When GaP is co-doped with oxygen and zinc two impurity levels occur at similar spatial coordinates: the O-level is 0.3 8.49 Ga0. Construct the corresponding band diagram for an In0. respectively.4 8. Explain which of the above two LEDs (the AlGaAs device or the InGaP device) is likely to have the better current efficiency.17) defines the joint dispersion relation.32). (ii) the probability of occupancy of these states falls off as exp(−E/k B T ). LED A emits in the ultra-violet part of the spectrum. (b) Show that the reduced effective mass for GaAs is ≈0. LEDs made from GaP:O:Zn emit in the red (≈ 700 nm). (b) the luminous efficacy. they are interesting because the emitted wavelength is much shorter than would be expected from a simple O → Zn transition.2 shows the band diagram for a P + pN + AlGaAs/GaAs/AlGaAs LED under forward bias. (c) the luminous efficiency.17) ∗ where m r is called the reduced effective mass.1 The E-k relationships for the conduction bands of two semiconductor materials.Exercises 151 Exercises 8.7 shows radiative recombination involving an electron and hole separated in energy by the bandgap energy E g . and the energies are in units of eV Both materials have the same valence-band structure.4 = 2α(k − k )2 .059m 0 . ∗ 2m r 2 2 (8. and LED B emits at 470 nm in the blue part of the spectrum. . k > 0. with the top of the valence band at E = 0 and k = 0. Show that these dependencies conspire to give maximum emission at an energy of E g + k B T /2. and the Zn-level is 0.49 Ga0. and (8. (a) Show that the photon energy dispersion relationship can be written as E ph = E g + k .7 Two LEDs. 8. 3. each emit 1 mW of optical power when operating at a current of 1 mA and a forward bias of 2 V .80 eV below the GaP conduction-band edge. For each diode evaluate: (a) the wall-plug efficiency. can be expressed as E A − 0. each with spherical constant-energy surfaces. Evolutionary New Chip Design Targets Lighting Systems. Shchekin. Martin and M. T. High Performance Thin-film Flip-chip InGaN-GaN Light-emitting Diodes. Solid-state Lighting: Lamps. T. vol. . Appl. M. 89. Epler. Steigerwald. Tsao. 2006 [4] O. vol.er.152 8 Light-emitting diodes References [1] F.R.html#SSL]. 2000. pp.F.E.. D28/1–7. Schubert. May 22–24.B. [2] E. Microwave Transistors – the Last 20 Years. 28–37. Phys. Schwierz.S. Chips and Materials for Tomorrow. Cambridge University Press.A. 13(2). 071109.O. Proc. D.B. Holcomb. [6] Basic Research Needs of Solid-State-Lighting. Lett.gov/bes/ reports/abstracts. Light-Emitting Diodes. pp. Circuits and Systems. IEEE Circuits and Devices Magazine. Krames. 2006. 2007. [3] O. Trottier. May/June 2004. on Devices. Compound Semiconductors. Margalith. Sun.Y. Shchekin and D. IEEE 3rd Int. Online [http://www. [5] J. 2nd Edn.doe. Caracas Conf. Report of the Basic Energy Sciences Workshop on Solid-State Lighting. P. 2006..A. J. with its larger bandgap. Thus. and one homojunction. This allows a much larger doping density to be used in the base. With the advent of CMOS in 1963. Silicon. a pn-junction. ideally. dissimilar semiconducting materials are used for the emitter and the base.1).67 eV). and from the GaP-InP system. In particular. and in high-power applications.. the two materials comprising the heterojunction must have very similar lattice constants (see the length a in Fig. Perhaps the biggest event in BJT development in the last 20 years has been the advent of heterojunction bipolar transistors (HBTs). causing unwanted recombination-generation centres (see Section 3. due to its favourable geometry. From the data in Fig. epitaxial deposition of thin semiconducting films onto a substrate that would. However. These transistors ushered in the era of solid-state electronics.7. We have already come across heterojunction diode structures in the chapter on LEDs. and the first Si BJTs appeared in the early 1950s.g.2). The benefit of this is discussed at length in the later chapter on high-frequency transistors. thereby giving a very low base resistance. proved to be a better proposition. be lattice-matched to the deposited films..19)). the intrinsic carrier concentration is high. without excessive base/emitter hole current. and the MOSFET became the more ubiquitous transistor.9 HBT basics The first commercial bipolar junction transistors (BJTs) were made from germanium. otherwise there will be defects at the interface. Because of the low bandgap of this material (0. 8. Silicon is not such a promising material with which to form heterojunctions as there is not the same . whereas the base and collector are made from the same semiconductor. there is one heterojunction. due to its superior transconductance. when compared to conventional BJTs. and to provide a superior transistor for circuits in which a high input impedance was important. are prime candidates for HBTs constructed on GaAs substrates. e. an Np-junction as discussed in Section 6. the idea is to choose two materials that give a barrier height for holes that is much larger than that for electrons. For an Npn HBT. the age of large-scale integration began.g. They were not challenged until MOSFETs started to appear in the 1960s. as we show elsewhere in this book. unless operating in a temperature-regulated circuit. which is why many readers will have become familiar with them during their electronics laboratory classes. and have described the formation of such structures by the sequential. As n i increases exponentially with temperature (see (4. BJTs are also more robust than MOSFETs. the bipolar transistor has inherent advantages in high-frequency performance. as discussed in Chapter 6.2. 2. these Ge BJTs were unstable. e. In the single heterojunction version of these transistors.3 it can be seen that materials from the AlAs-GaAs materials system. as discussed in Chapter 14. and a field is created in the base. This arrangement is to reduce both the base spreading resistance (see Section 16. which is much less than any significant lateral feature size. However. . This reduced structure is valid because the critical dimension is the basewidth (vertical dimension).1 Basic structure of a InGaP/GaAs HBT. as shown in the lower part of Fig. the bandgap gets progressively smaller. 9. The main part of the transistor is within the region defined by the dashed-line box.1) and the series resistance of the access regions to the main part of the transistor.1 shows the basic structural arrangement of an HBT using semiconductor materials of the III-V compound variety. suitably defect-free junctions can be grown between Si and a dilute alloy of Si1−x Gex . This part of the transistor can be represented by the basically 1-D element shown in the lower part of the figure.1. 9. a vertical slice through the transistor. The HBT is a good example of a device designed by bandgap engineering [1].1 Basic properties Fig. thereby improving the high-frequency performance of the transistor.3. with the Ge mole fraction x not exceeding about 15%. This field aids the transport of electrons across the base to the collector. The latter is. essentially. The n + emitter cap layer facilitates ohmic contacting to the emitter metallization. Note the pairs of contacts for the base and the collector. By increasing the Ge mole fraction through the base. and the n + sub-collector reduces the collector resistance. variety of lattice-compatible materials to choose from. The various semiconducting layers would be deposited epitaxially.154 9 HBT basics E B Emitter cap Emitter Base n+ GaAs n+ InGaP p+ GaAs B Collector n – GaAs C Sub–collector n+ GaAs C E Emitter B Base B Collector C Figure 9. 9. Both these factors help in the attainment of a high f T . the doping density in the base can be increased without compromising the current gain.49 Ga0. This illustrates the defining feature of HBTs: the creation of different energy barriers for electrons and holes at the emitter/base junction. 9.2 we show the particular example for the single heterojunction bipolar transistor depicted in Fig. A narrow base is advantageous because of two reasons: it enables a steep profile for the minority carrier concentration to be maintained.2.49 Ga0. It comprises: an emitter of N-In0. we follow the common practice of denoting the region of higher bandgap by an uppercase symbol. The particular mole fractions for In and Ga in the InGaP layer are chosen to give a good lattice match to GaAs (see Fig.51 P . Note the equality of the electron affinities. As we have done elsewhere in this book.1. so the difference in bandgaps (≈ 0. and are 1–2 orders of magnitude higher than in the emitter. 9. Electron energy .51 P. less base-storage capacitance.3). The bandgap for this material is 1. consequently.86 eV The electron affinities of GaAs and In0.1 Basic properties 155 E0 cp = c El c N EC N EF EV ∆ E V = ∆ Eg x x dp 0 −xdn Figure 9.4 eV) is taken up entirely by the band-edge offset in the valence band. The energy band diagram for an HBT is constructed following the procedure detailed in Section 6. are essentially the same. In Fig. which leads to a high collector current. The 1 Base doping densities of 1019 −1020 cm−3 are common. By having a higher hole barrier.2 Equilibrium energy-band diagram of the base/emitter region of a p-GaAs/N-InGaP HBT. 8.1. it means that there is less minority carrier storage and.9.1 The high base doping density also allows a very narrow base to be used without causing the base access resistance to become excessive. a base of p + -GaAs and a collector of n − -GaAs. 3 we find µe ≈ 0. Further.24).45) we need the electron mobility in GaAs for N A = 6 × 1019 cm−3 . In an ideal diode it is infinite.2 Collector current Our intention is to obtain an expression for the collector current IC in terms of the transistor’s main controlling voltage Va j ≈ VB E .2 we get v R ≈ 105 m s−1 . the basewidth can be ≈ 30 nm. Thus. From (6. with the result that their distribution at the edge of the emitter/base space-charge region is of a nearequilibrium form. and from Table 4. and the mean unidirectional velocity for injection from an emitter with N D = 3 × 1017 cm−3 . The portion of the base between the junction space-charge regions is called the quasi-neutral base: it is essentially field-free. we recognize a very important difference between the ideal diode discussed in Section 6. n 0B VB E /Vth n∗ n 0E −(Vbi −VB E )/Vth E ≡ . for example. Thus. for example. the mean-free-path length is ≈ 10 nm. is 1. 5. so any carriers injected from the emitter have ample opportunity to make collisions. It is this characteristic that allowed us to use Shockley’s Law of the Junction to get n(xdp ).075 m2 (V s)−1 . the built-in voltage for an emitter doping density of N D = 3 × 1017 cm−3 and a base doping density of . This can be done by combining the equations for electron transport and electron continuity from our master set of equations (5. From Fig. We do this by considering electron flow in the base.39) it follows that the built-in voltage for our sample device is q Vbi = k B T ln NC p n 0N .1) Assuming similar effective densities of states for InGaP and GaAs.156 9 HBT basics reduced base resistance helps in realizing a high f max . = e e 2 2 2 (9. respectively. We denote the part of this positive-going (collector-directed) electron distribution that overcomes the barrier as n ∗ /2. N A = 6 × 1019 cm−3 . NC N n 0 p (9. it is reasonable to assume that the electrons injected from the emitter maintain a hemi-Maxwellian distribution through the emitter/base space-charge region.46 V In preparation for deriving an expression for the current in the next section. so we can assume reasonably safely that any minority-carrier currents therein are due to diffusion.2) where n 0E and n 0B are the equilibrium electron concentrations in the emitter and base. In a modern HBT. Under the . This transport mechanism is fuelled by carrier concentration gradients.6 and a modern HBT: the width of the base. 9. we E recognize this as one-half of the boundary condition n(xdp ) given by Shockley’s Law of the Junction. Both of these frequency metrics are discussed in Chapter 14 on high-frequency devices. so we need to know the electron profile in the quasi-neutral base. However. To estimate the mean free path for our example from (5. This is insufficient to assume that all carriers injected into the base are ‘thermalized’ by collisions. (9. drift-diffusion approximation and in steady-state. then essentially no recombination takes place in the base. as it leads to a high IC and. to good high-frequency performance.31). we consider this case: it allows us to compute Je if we can find the electron concentration at any two points in the base. dx2 L2 e (9. Under these circumstances. Computed from data on the doping density dependence of µ and τ from (5. (9. respectively. These electrons are drawn from the positive-going hemi-Maxwellian at x = −xdn .3) reduces to d 2n = 0.4) dx2 i. the electron diffusion current Je = q De dn/d x is a constant. This equation is the same as appeared in our analysis of the ideal diode (6.31) and (3. 9. 9. which is the other end of the .2 Collector current 157 10 −1 10 −2 Diffusion length (cm) 10 −3 10 −4 10 −5 10 −6 10 16 10 17 10 18 10 19 10 20 Doping (cm −3) Figure 9. Typical values for GaAs are shown in Fig.3 Diffusion lengths for minority carrier electrons (solid line) and holes (dashed line) in gallium arsenide. The minority-carrier diffusion length can be thought of as a measure of the distance a minority carrier diffuses before it recombines with a majority carrier. as explained in the high-frequency chapter.e. Having a short base is important practically.22).31) and the Einstein Relation. facilitating injection of electrons from the emitter into the base.3. The forward bias lowers the energy barrier at the emitter/base junction.9.3) where L e is the electron minority-carrier diffusion length.22).4. If the quasi-neutral basewidth W B in an Npn HBT is much less than L e . xdp and xdp + W B in Fig.. these yield n − n 0B d 2n − = 0. Here. and can be evaluated using the diffusivity from (5. We will attempt to do this for the points at either end of the quasi-neutral base. and the minority-carrier lifetime from (3. but its solution here will be different because of the different boundary conditions. Let us assume that W is less than a mean-free path for electrons. However.5).4. thus contributing to the left-going concentration at x = xdp . As these electrons enter into the base they will scatter. as can be verified by doing Exercise 9.5) Further. left-going distribution of electrons at x = xdp . 9.2). In a completely analogous way. Another contribution to this left-going distribution will come from electrons injected into the base from the collector. after scattering and reaching x = xdp will be moving to the left.4. Let n L represent the total. Therefore. we will allow for some to be present. VBC < 0). 2 (9. .4 HBT in the active mode of operation (VB E > 0.158 9 HBT basics Electron energy EF (E) E C (x) EF (C) EMITTER BASE −x dn 0 xdp xdp + WB EV (x) COLLECTOR x Figure 9. space-charge region in the depletion approximation.6) Equation (9.1. as illustrated in Fig. yielding the boundary condition n(xdp ) = n ∗ + E Je (xdp ) . 9. q2v R (9. the electron current density at x = xdp is Je (xdp ) = −q n∗ E 2v R − (−qn L 2v R ) . at least for forward bias. and some of them.29) for either low currents or very high v R . The total width of the depletion region is W = xdn + xdp . let us assume that the scattering events have reduced n L to a hemi-Maxwellian distribution. The situation is illustrated in Fig.6) can be used to eliminate n L from (9.7) Note that this expression reduces to Shockley’s Law of the Junction (6. the right-going part of the electron distribution at x = xdp . is given by (9. Thus n(xdp ) = n∗ E + nL .2 Under these conditions. There will not be many of these electrons because the reverse bias at the collector/base junction increases the barrier therein. the boundary condition at the 2 This is a good assumption. 2 (9. and some will be re-directed towards the emitter. There are some subtle differences. Secondly. This is because conduction is never resistive. thus Je = q De n(xdp + W B ) − n(xdp ) /W B . = e 2 2 (9. and would not be asymptotic to its ballistic limit of −q(n ∗ /2)2v R .11) (9. there is not really a linear region at low collector/emitter bias. 1 + . The controlling voltage of the base/emitter junction is VB E . ∗ n 0B VBC /Vth nC .9. This used to be the case in practical bipolar transistors.2 Collector current 159 other end of the quasi-neutral base can be written ∗ n(xdp + W B ) = n C − Je (xdp + W B ) . This is illustrated in Fig. The electron current exiting the E collector can be viewed as a positive charge flow into the collector from the external circuit: IEEE convention deems this to be a positive current. as is invoked in some MOSFET models to define the ON condition. Note the similarity of this set of curves.8) ∗ where n C /2 is the concentration of electrons in the collector with enough left-directed kinetic energy to surmount the collector/base barrier.7) and (9. but is always diffusive (except in the ballistic case). 9. in which cases it becomes necessary to include the 1/v R term. IC depends exponentially on the controlling voltage VB E . The reciprocal of the latter is the sum of two reciprocal velocities. i. Firstly. or ‘slownesses’. which constitute the collector current characteristic. 9.37). then the process of diffusion limits the current.11) and is the transistor equivalent to the ideal diode saturation current of (6.10) WB De 1 vR This equation has been ordered to highlight the charge and velocity terms. (9. we obtain Je = −qn 0B e VB E /Vth − e VBC /Vth . q2v R (9. (9. In bipolar transistors. though.8). with the drain current characteristic shown in the MOSFET chapter. at points separated by a known distance. Transistor action in the HBT is exemplified by the plot of JC shown in Fig. we have produced a characteristic without recourse to a threshold voltage.9) We now have two carrier concentrations. and the voltage across the other junction VBC is embedded in VC E = VC B + VB E ≡ −VBC + VB E .6. but fabrication techniques have improved to the point where basewidths of < 50 nm are routinely employed. therefore IC ≡ −Je A ≡ I S e VB E /Vth − e VBC /Vth .12) where A is the cross-sectional area. Substituting for the carrier concentrations from (9. otherwise the current would be overestimated. and I S collects together the non-exponential terms from (9. so it is arbitrary where the ON . If the ‘diffusion velocity’ De /W B is much less than the ‘injection’ velocity v R ..5.e. The parameters for the InGaP/GaAs device are: N E = 3 × 1017 cm−3 .40 V 2.4 V VC E = 3 V × 10 4 3. . The parameters for the InGaP/GaAs device are: N E = 3 × 1017 cm−3 . basewidth. showing the effect of including the velocity-boundary condition v R (solid line).5 1 1.5 3 Figure 9. N B = 6 × 1019 cm−3 .5 0 0 0.6 Collector current characteristic with VB E as a parameter. and of neglecting to include v R (dashed line).34 V 0.37 V 1 VBE = 1.5 3 VBE = 1. .160 9 HBT basics 10 9 8 7 JC (A cm−2) 6 5 4 3 2 1 × 10 4 0 1 10 10 WB (nm) 2 10 3 Figure 9. VB E = 1. W B = 30 nm.5 JC (A cm−2) VBE = 1.5 VBE = 1.5 Collector current density vs.5 VCE (V) 2 2.39 V 2 1. N B = 6 × 1019 cm−3 . . 11) the base/collector was mentioned as being reverse biased. indeed.2.. In a bipolar junction transistor.7 V for a Si BJT.e. the mathematics is quite general. In the description of the derivation of (9. 9. there is a DC current at the controlling base electrode (see Fig. Such a distinction is not made in the case of the MOSFET because in that device the source and drain are usually identical physically.e. i. a larger value is appropriate. However. (ii) replenish holes lost to recombination with electrons in the base-emitter space-charge region. i. when VC E < VB E . In other words. i. (iii) supply any hole current across the reverse-biased base/collector junction.3 Base current In the MOSFET the presence of the gate oxide means that we don’t have to be concerned with the DC current at the controlling electrode. this region where both junctions are forward biased is called the saturation region.6 and begin at large VC E . is called the active region. To appreciate the effect of forward biasing the collector/base junction. there comes a point as VC E is reduced when the collector/base junction becomes forward biased. pick a curve on Fig. The collector now starts to inject electrons into the base.4 To complete the description of the operating modes: when both junctions are reverse biased the HBT is said to be cut-off. however. Confusingly. this happens when VC B < 0. then that would be the inverse mode of operation. (iv) supply the hole current due to injection of holes into the emitter across the forward-biased baseemitter junction. Now. The flow from the collector increases exponentially with VBC . and is usually taken to be VB E = 0.3 Base current 161 condition is deemed to begin.e. and this flow counters the flow from the emitter. 9.3 Often in books on electronic circuits a turn-on voltage is specified for a bipolar transistor. when VC B is positive. meaning that the collector/base n/ p junction is reverse biased. as we describe in Chap. we have said 3 4 In a MOSFET. 13.7). and the region where IC is actually constant. I D depends exponentially on the surface potential ψs .. Holes flow into the base to: (i) replenish holes lost to recombination with electrons in the base. Our treatment has focused on the normal mode of operation. when the base/emitter junction is forward biased and the collector/base junction is reverse biased. This is because the electrons injected into the base from the emitter are easily collected by the favourable electric field in the base/collector space-charge region. If the transistor were to be operated with the actual collector being used as the emitter.. so IC decreases drastically.and reverse-bias of the base/collector junction and. but the latter is not always linearly related to the controlling voltage VG S . stay on the curve (fixed VB E ) and note that IC does not change as the reverse bias on the collector/base junction is reduced. However. but only for the purpose of computing the collector current.9. . and the equation applies for both forward. The reason why ‘saturation’ is used to describe a region in which IC is not constant is explained in Section 13. to both bias modes for the base/emitter junction. 9. For HBTs made from wider bandgap materials. We have already given reasons for ignoring recombination in the base. when the base/emitter barrier is high and there is little net hole injection into the quasi-neutral emitter. there will be recombination in the forward-biased base/emitter space-charge region because it is a region where there are large numbers of both electrons and holes. in a well-designed transistor is much lower than IC . the reverse-bias current of mechanism (iii) can be safely neglected. which is mechanism (iv). which. However. and can be computed from the electron continuity equation in the master set of equations (5.3.BC + VCB ) El (x) E0 E C (x) EF (C) EV (x) (iii) (iv) −WE −xdn −xdn 0 x xdp BASE xdp +WB COLLECTOR EMITTER Figure 9. Any current due to this recombination will show up in the emitter and base leads at low VB E . this injection current. Here. that any recombination current in the base is small compared to the collector current.3. 9.2. we will ignore the latter. τe (9. the injection of holes into the emitter. at moderate forward bias. becomes large and dominates over the space-charge region recombination current. Also. We calculate this portion of I B in the next subsection. This does not mean that any recombination current is small compared to the base current. Regarding mechanism (ii).162 9 HBT basics −q(Vbi.13) . is treated in Section 9.7 is due to recombination in the base.7 The various mechanisms of hole current in an HBT in the active mode of operation. The remaining mechanism (iv).BE + VEB ) (i) Electron energy (ii) EF (E) −q(Vbi.1 Recombination in the base Mechanism (i) in Fig.24) Je (xd p +W B ) Je (xd p ) xd p +W B xd p Je. 9.rec = d Je = q n B (x) − n 0B dx . WB (9. and L h is the hole minority carrier diffusion length in the emitter.16) where p0E is the equilibrium hole concentration in the emitter. (−W E − xdn ) < x < −xdn . we cannot ignore recombination of the injected holes in the quasi-neutral emitter.3 Base current 163 where n B is the spatially varying electron concentration in the base.18) Assuming a linear profile. i. we have5 n B (x) = n(xdp ) − n(xdp ) − n(xdp + W B ) (x/W B ) = n∗ + E Je (xdp ) q2v R 1− x WB ∗ + nC − Je (xdp + W B ) q2v R x .2 Hole injection into the emitter The region of interest is that of the quasi-neutral emitter.9. A convenient form of the general solution to (9.14) Substituting into (9. re-arranging.rec = Aqn 0B (e VB E /Vth − 1) + (e VBC /Vth − 1) 1 + .11). Thus. the boundary condition for the hole concentration at the emitter-edge of the depletion region follows without modification from Shockley’s Law of the Junction p(−xdn ) = p0E e VB E /Vth . Lh Lh (9. 9. and then using that profile to estimate recombination by allowing for a finite value of τ B is permissible so long as there is not much recombination. (9. and converting to current.13).7.. 2 dx L2 h (9. which results from having no recombination.16) is p(x) − p0E = B cosh x x + C sinh . Thus. 9.6).17) Because the emitter length may be greater than L h .e. Assuming the linear profile used in deriving the earlier expression for IC . However. L e > W B (see Exercise 9. from the equations for the hole diffusion current and the hole charge continuity in the drift-diffusion approximation we get p − p0E d2 p − = 0.15) 2τe WB 1 2v R where A is the cross-sectional area of the emitter: in our one-dimensional model. 5 (9.3. integrating. the relatively long length of the emitter means that there is no need to bound the carrier velocity as we did for Je in the base by including the thermal velocity 2v R in the boundary conditions. yields I B. The effective velocity in this case is the term within the curly brackets: it reduces to the usual expression of W B /2τe when v R is large. and we are concerned with the hole current Jh in the emitter due to hole injection over the base/emitter barrier into the quasi-neutral emitter. it is the same area as used for evaluating the collector current from (9. as shown in Fig. The emitter is usually much wider than the base so recombination of the injected holes must be considered. . after H.19) To simplify the algebra. Note that.rec . A semi-logarithmic plot of collector and base currents vs. 9.8 for the case of a InGaP/GaAs HBT with W E = 159 nm and W B = 30 nm.164 9 HBT basics At the other end of the emitter we assume an ohmic contact. Thus. 9. which is engineered by choosing materials for the heterojunction with a large valence-band offset.9 does this. thus p(−xdn − W E ) = p0E .4 DC equivalent-circuit model The DC equivalent-circuit model of the HBT must represent the collector current. (9. the hole current in the emitter at the edge of the depletion region is Jh (−xdn ) A = −q A Dh WE p0E (e VB E /Vth − 1) coth . thus.22). plotted in this way it shows the transfer characteristic of the device. due to the influx of electrons from the forwardbiased collector/base junction. then Jh (−xdn ) A is also the hole current on the base side of the depletion region. (9.20) Substituting for the constants B and C. and it is described by an expression similar to (9.21) −W E . Also shown in the figure is the collector current. Lh (9. Lh Lh (9. The latter is mechanism (iii) from Section 9. This is a testament to the formidable reflecting action of the large hole barrier. Gummel.inj .K. the boundary conditions yield values for the constants B = p0E (e VB E /Vth − 1) C = − p0E (e VB E /Vth − 1) coth The hole current density is Jh (x ) = −q Dh dp Dh = −q dx Lh B sinh x x + C cosh Lh Lh . (9.3. 9. where x = x + xdn .11) and (9. The two components of I B are plotted in Fig.15) and (9.22) where A is the emitter area. We label this as I B. (9. in the equivalent circuit. the recombination component of base current is much more significant than the so-called back-injection hole current. The circuit of Fig. let us use the length variable x in place of x. VB E is known as a Gummel plot. and also includes the base current due to injection of holes into the collector. the base recombination term has been separated into 6 The minus sign in this case arises because the hole flow we are considering is in the negative x direction.22). Operation of the transistor in the saturation regime would increase the importance of I B.12). Even for such a short-base device. it can be added to I B. and the base current. a pioneer in the field of semiconductor device modelling. .rec to get the total base current.6 Assuming no recombination in the depletion region. 2 VBE (V) 1. The current source is from (9. and two components of the base current density: JB.inj (VB E ). The other two diodes represent recombination in the base of electrons injected from the emitter and the collector. The parameters for this InGaP/GaAs device are: N E = 3 × 1017 cm−3 .inj (VBC ). N B = 6 × 1019 cm−3 . and is represented by the diode I B. VC E = 3 V and the minority carrier properties are as per Fig.8 J-V plots for an HBT showing the collector current density (solid line).3. with I S representing the non-exponent part of that equation. W B = 30 nm.rec (dashed line) and JB. . as described by (9.11).3 1.4 Figure 9.15). Hole injection into the collector is given by a similar expression (replace E with C and use the correct. 9. . IC IB. doping-density-dependent values for Dh and L h ). rec (VBC ) RC C B IS (eVBE / Vth − eVBC / Vth) Figure 9.22) and is represented by the diode I B. inj (VBE ) IB.9 HBT DC equivalent circuit. Hole injection from the base into the emitter is given by (9. W E = 150 nm.4 DC equivalent-circuit model 165 10 5 JC and JB (A cm−2) 10 0 10 −5 10 −10 1 1.1 1.9. rec (VBE ) IE RE E IB.inj (stippled line). inj (VBC ) IB RB IB. 7 See Section 14.25 V . In the common-base connection the input current is the emitter current and the DC.49 Ga0. and show your calculations of the relevant currents. one associated with each junction.99. and determine if it is reasonable to assume that there is no scattering in the junction space-charge region.. Thus.6.0 V The emitter doping density is 1018 cm−3 and the width of the emitter quasi-neutral region is 100 nm.2 Consider an npn GaAs BJT operating in the active mode with VB E = 1.3 Make the above BJT into an Npn HBT by changing the emitter to lattice-matched InGaP. Exercises 9.24) A typical value might be α0 = 0. if the parameter in Fig. common-base current gain is given by α0 = IC /|I E | . thereby emphasizing the origin of the electrons in the base. which would yield a transistor with a β0 that was 100 times larger. 9. Resistors are also shown to represent the resistances of the various quasi-neutral and access regions. with which the holes recombine. respectively. (9. Thus β0 = IC /I B .11). Al0.4 V .1 for details on how to estimate R B . so that the controlling parameter is the base current. (b) Estimate the mean-free-path length l¯ for electrons. In this configuration. 8. (9. for which the emitter and base doping densities are 5 × 1017 cm−3 and 1 × 1019 cm−3 . then a family of curves with equal base steps would show equally spaced collector currents in the active region.1 Consider an Np+ n.22) and the relevant part of (9. The minority carrier properties of the InGaP can be taken to be the same as for correspondingly doped GaAs.7 An often-used metric for a bipolar transistor is the DC.6 were I B rather than VB E . 9. Estimate β0 . (9. which is particularly important in high-frequency applications.3). common-emitter current gain β0 .e.and output-pairs of terminals. In0. and VBC = −3. bias-independent form. The corresponding values for the base are 1019 cm−3 and 25 nm.3 Ga0. i. using (9. the emitter lead is common to the input. . 9.51 P (see Fig. The HBT is operating in the active mode with a forward bias of 1. and the current gain can be simply expressed in a constant.23) In the active mode of operation the upper two diodes in the equivalent circuit can be neglected.166 9 HBT basics two diode-like terms. (a) Calculate the width of the space-charge region W at the emitter/base junction using the Depletion Approximation.15).7 As/GaAs HBT. Estimate β0 . respectively.3? 9. the HBT offers a much reduced base resistivity. v R → ∞. (9. Al0.2 and adjust the base doping density so that this BJT yields a similar β0 to the HBT of Exercise 9. Compare the base resistivities of the BJT and HBT. the base recombination current was computed from the seemingly inconsistent approximation of a linear profile for the minority carrier electrons. (9.4 V .3. but Shockley boundary conditions are assumed.7 Consider an Np+ n. Note that the electron energy barrier is parabolic. 6. Apply this equation to the parabolic barrier of height q VN on the N-side of the junction. E/q VN within the energy range for tunnelling. i. from Fig. −χ p ≤ E ≤ −χ N − q Vbi + q VN . for which the emitter and base doping densities are 5 × 1017 cm−3 and 1 × 1019 cm−3 . start with (5. 9.1.9a. relative to the electron diffusion length.9a as a guide.26) to obtain a plot of T (E) vs. then the base current is easily shown to be [2] I B.3 Ga0. for this equation to be adequately approximated by (9.15)? (b) Is this condition satisfied in the HBT of Exercise 9. (a) Using Fig. Al0.3.4 Compare the values of β0 from the previous two questions and state the principal reason(s) for their large difference. 9. sketch the energy-band diagram for the emitter/base part of this Type I HBT. (a) Use (9. 9.57). Re-visit Exercise 9.e.. and show that T (E) = e−γ . √ 1 − X − X ln N D /(m 1 1 ). The HBT is operating in the active mode with a forward bias of 1. and that tunnelling from the emitter to the base is possible.6 In Section 9.26) . i.7 As/GaAs HBT under forward bias..e.7 As/GaAs HBT.Exercises 167 9. where q VN γ = E0 E 0 = ( q/2) and X = E/q VN . 6.rec = Aqn 0B (e VB E /Vth − 1) + (e VBC /Vth − 1) · De Le coth(W B /L e ) − 1 sinh(W B /L e ) .25) (a) How short does the quasi-neutral base have to be. which is an approximate expression for T (E) for a non-rectangular barrier. (b) To derive an expression for the transmission probability.8 Consider an Np+ n. 1+ √ 1− X √ X .5 Besides the improvement in current gain.3 Ga0. which is very important in high-frequency devices. If this approximation is not made. (c) The spectral tunnelling flux is n(E)T (E): plot this against the normalized energy E/q VN . p. Use the Maxwell-Boltzmann distribution function..168 9 HBT basics (b) Now estimate the spectral carrier density n(E) = g(E) f (E) of electrons available for tunnelling. vol. Ed. 348. Introduction to Microelectronic Devices. [2] D.L.75q VN . J. 1999. Prentice-Hall. and display your result graphically.L. 8. Webster. Wiley Encyclopedia of Electrical and Electronics Engineering. References [1] D. Inc. 690–706..G. Pulfrey and N. 1989.G. Heterojunction Bipolar Transistor. Tarr. John Wiley & Sons. Pulfrey. The result should show that the peak tunnelling flux occurs at an energy of ≈ 0. . industrialstandard. PSP is surface-potential based. Compact Model Council: http://www. 10. The particular transistor shown has two np-junctions. and the art and technology of its processing into electronic devices have reached such a state of maturity that billions of Si MOSFETs are made weekly. The claim that the Si MOSFET is the most abundant object made by mankind is difficult to refute [2]. In this acronym ‘M’ literally stands for metal. Our treatment draws heavily on the classic work of Tsividis [5]. The delay was principally due to a lack of understanding of the importance of the oxide/semiconductor interface. e.10 MOSFET basics The MOSFET1 was the subject of a patent in 1933 [1]. The basic electrostatics of the device is developed. and the DC current-voltage characteristics are derived using two models that are very widely used in the simulation of Si MOSFET integrated circuits: PSP and SPICE.org/Standard-Models-and-Downloads . in the early 21st century.1 Transfer characteristic A typical Si MOSFET is shown in Fig.1. 10. heavily doped polycrystalline silicon. and has evolved extensively since then [4].. It was originally developed by Lawrence Nagel at the University of California at Berkeley in the mid-1970s. we start with the more general and accurate surface-potential model. 1 2 Metal-Oxide Field-Effect Transistor. Here. and then we show how the threshold-voltage model is derived from it. In this chapter the so-called ‘long-channel’ FET is considered.geia. It is the Compact Model Council’s new. MOSFET model. but it is also used for any highly conductive gate material. although there is now a return to metal gates in very high-performance devices (see Chapter 13). notably for the growth of the thin gate oxide. The material in this chapter provides the basis for understanding how the MOSFET has developed into today’s dominant digital transistor. as described in Chapter 13. whereas SPICE is threshold-voltage based. but did not reach commercial maturity until about thirty years later.g. with the highly doped (n + ) regions being called the drain and the source. and to the time taken to develop suitable fabrication procedures.2 SPICE stands for ‘Simulation Program with Integrated Circuit Emphasis’. after the two organizations that have been largely instrumental in bringing this surface-potential model to a state of commercial viability [3]. the science of silicon. as is still used for most Si MOSFETs. Now. PSP stands for ‘Penn-State Philips’. For the moment. or an N-FET. Only the N-FET will be discussed here. and defining the length L and width Z of the channel.. realize that the diameter of a human hair is about 2000 times greater. Strictly speaking. i. A complementary P-FET can be realized by reversing the doping type of each region. values less than 50 nm are achievable today. for a 3 To attempt to comprehend such a small size.170 10 MOSFET basics Gate Oxide p + Contact n + Source p Body y x L tox n + Drain yj Z (a) G Oxide S n + Source x (b) y p Body B Figure 10. . the thickness tox of the oxide. The separation between the source and drain regions is the defining physical metric. Application of voltage between the gate and the body VG B (≡ VG S in this case). (b) 2-D representation with the body contact on the bottom.e. showing the body contact on the top surface. let us assume that no voltage is applied between the source and the body. and the depth y j of the source and drain np-junctions. VS B = 0. Note the orientation of the axes x and y.1 Basic MOSFET structure. The p-region is called the body or the substrate. The conductivity type of the carriers leads to this device being called an n-channel MOSFET.3 We will show how the drain current I D is due to the flow of electrons from the source to the drain. the MOSFET is a four-terminal device. (a) Actual tox L n + Drain D arrangement. I D is still related exponentially to ψs .2 0. MEDICI (Synopsys) simulation using the DDE version of (5. r the electron injection is heaviest at the surface because this is where the potential in the body is highest. r this potential in the top part of the body also causes a voltage drop across the depleted body/source pn-junction. This forward biases the source/body diode and electrons are injected into the body. It is just that VG B is no longer the operative bias..29). and the exponential relationship between channel charge and gate bias is lost. in the x-direction.e. This creates a space-charge layer. this electron charge becomes so dense that it electrostatically screens the body from the gate.4 VGS (V) 0. in the y-direction. some of VG B is dropped. eventually. exponential. The sequence of events that causes this behaviour is: r the positive V repels holes from the substrate close to the oxide/semiconductor GB interface (also known as the surface). The relevant bias for the forward-biased source/channel diode is (ψs − VS ). i.10.or gate-characteristic shown in Fig. r however. and VS is the source potential. given drain-source voltage VDS > 0.2.6 0. I-V relationship of a forward-biased diode no longer applies at high gate bias. The threshold voltage is 0.1 Transfer characteristic 171 10 −3 10 −4 10 I D (A µm−1) −5 10 −6 10 −7 10 −8 VT VDS = 0.24). Note how the drain current I D increases exponentially at first.2 Transfer (or gate) characteristic at VDS = 0. Further increases in VG B are absorbed almost entirely in the oxide. where ψs is the surface potential in the body.1 V 10 −9 0 0. this charge increases exponentially with applied bias. which we’ll take to be zero here. 10. In accordance with standard pn-junction theory (6. Thus.1 V for a CMOS90 NFET with the properties listed in Appendix C. and then less strongly as VG B is increased. leads to the transfer. but the .8 1 Figure 10. Don’t be misled by the above into thinking that the basic. the electrons form a thin channel at the surface.24 V. across which. the movement of which constitutes the current I D . and the drain current at VG S = 0 is called the OFF current. but no longer exponentially with VG B . and the drain current in this region is often called the ON current. The boundary between the exponential and nonexponential regions is gradual. so Cs almost exactly as VG B . the charge in the semiconductor is small. This can be appreciated from Fig. but it is usually associated with the threshold voltage VT . For VG S > VT we have the super-threshold region. at higher bias. .3. which shows the potential-divider action of the series arrangement of the oxide capacitance and the semiconductor capacitance. 10. Writing the sum of these charges as Q s . latter is no longer nearly equal to VG B . nature. and. still increases exponentially with ψs . 1 + Cs /Cox (10. The region VG S < VT is the sub-threshold region. the change in ψs with VG B is much diminished. consequently. ψs (10. giving an exponential relationship between I D and gate bias. respectively.1) supports our earlier description of the gate characteristic: at low Cox . i.e. are given here by Cox = − Qs ox ≡ ψox tox and Cs = − Qs . for the purpose of determining the surface potential. parallel-plate. Equation (10. ox and tox are the permittivity and thickness of the oxide. and in the body Q b .172 10 MOSFET basics +++++++ + VGB body S − − − − − − − oxide yox ys Figure 10. Cs is due to the gate-potential-induced changes in charge in the channel Q n . as defined and discussed in Chapter 12.3 Representation of the oxide and the electrons and ions in the semiconductor as capacitors. and ψs changes gate bias. The electron charge Q n .1) Cox can easily be appreciated by its geometric. Cs becomes appreciable due to the increased charge in the channel.2) where ψox is the voltage drop across the oxide. ψs = VG B 1 .. the capacitances per unit area. As the intention is to create a high density of electrons at the surface. The total potential differences across the oxide and semiconductor are ψox and ψs . i. respectively (see Fig.1 Electrostatics MOS capacitor Consider a slice of the MOS structure from gate to body (see Fig.2. for which the Fermi level is coincident with the conduction-band edge. To equilibrate the system. semiconducting body – are represented energetically in Fig.2 Electrostatics 173 Channel (inversion layer) charge Qn Gate n+ Source n+ Drain Depletion region boundary Depletion region charge QB Individual MOS capacitor element x y pSi Figure 10. The charge difference across the oxide creates an electric field therein. n-type polysilicon. 10.9]. Note that the difference in work functions in the case of Fig.4). respectively. 10. 10. insulating oxide. the insulator is silicon dioxide.. 7. The three components of the structure – gate. ignore the lateral connections to the source and drain. it has assumed a more n-type character. (10. 10. 10.5b).5b reveals E 0 − q Vbi − G = E0 − S S G Vbi = − q . and imagine a metallic contact to the body on the bottom. Inspection of Fig. and the semiconducting body is p-type silicon.5b is such that the semiconductor surface region is effectively less p-type than the rest of the body.e. creating a space-charge region of ionized acceptors near to the interface with the oxide. The transferred electrons recombine with holes in the p-type body. From Pulfrey and Tarr [6. For specificity. This phenomenon is known as inversion. the gate is taken to be heavily doped. The gate acquires a net positive charge due to its loss of electrons. Fig. the Fermi level in the body must be raised. The sum of these two gives the built-in voltage Vbi for the MOS capacitor.10. 10. there is band-bending in this region. Such a two-terminal structure is called a MOS capacitor. it follows that this will be .5(a).3) where G and S are the work functions of the gate and the semiconducting body.4 MOS capacitor resulting from taking a slice through the MOSFET and ignoring the source and drain.2 10. Thus. this is accomplished by transfer of electrons from the gate. 4.6b. achieved at a lower positive applied gate/body voltage than would be the case if there were no band-bending at equilibrium. (10. it can be achieved in our example by applying a negative potential to the gate (see Fig.5) (10. as would be the case for n + polysilicon. The electron concentration (per unit volume) in the semiconductor is given by n(y) = n i e(E F B −E Fi (y))/kT ≡ n i e(ψ(y)−φ B )/Vth ..7) where ψs ≡ ψ(0) is the potential at the semiconductor surface.4) where a uniform doping density of acceptors has been assumed and φ B is defined as φB = 1 [E Fi (B) − E F B ] . (a) The separated components. when ψs equals 2φ B . Evidently. (b) The completed band diagram at equilibrium.e. G and S are the work functions of the gate and the semiconductor. 10. q (10. respectively. Evidently. NA (10.6) These equations can be used to express n in terms of N A : n(y) = N A e(ψ(y)−2φ B )/Vth . For the gate. χox is the electron affinity of the oxide. E F G is taken to be coincident with the conduction-band edge. This condition which is illustrated in Fig. then n(0) = N A . The no-band-bending condition is called the flat-band condition. i.5 Band diagrams for the electron-energy variation in the y-direction for the MOS capacitor in Fig. V f b = −Vbi . if Vbi were zero.6a). 10. 10.174 10 MOSFET basics Electron energy cox ΦG EFG E0 = El EC ΦS EC EFB E V −qVbi El (y) −q y (y) qy s qy ox E0 EC (y) EFB E (y) V E EFG V E GATE OXIDE V BODY y GATE OXIDE −t ox 0 BODY W y (a) (b) Figure 10. is defined . Deep in the body of the device we have n(B) = n i e−φ B /Vth = n i2 . 2 MOSFET Now let us consider the effect of contacting the inversion layer via the drain and source regions. Overall charge neutrality demands. therefore. VG B = VG B . This voltage is dropped along the channel and is the driving force for electron flow from the source to the drain. and increases the potential drop across the depletion layer. as the onset of strong inversion in the surface channel of a MOS capacitor. The effect of the former is to reduce the charge on the gate Q G . that the channel charge Q n becomes more positive.2. To recognize the non-equilibrium nature of the situation now that charge flow is permissible.7.8) where W is the depth of the space-charge layer that extends from the surface into the body. The electron charge per unit area is given by Qn = 0 W −qn(y) dy .7). 10. (10. as shown in Fig. 10. (10. Thus. 10. (b) Energy-band diagram T at the onset of strong inversion: ψs = 2φ B . with reference to the two band diagrams in Fig.10. The difference in quasi-Fermi levels for electrons at the surface and for holes deep in the body defines the channel/body voltage VC B : − q VC B (x) = E Fn (x) − E F B . a separate electron quasi-Fermi level E Fn is introduced (see Fig. the reduction in channel charge in (b) means that the applied gate/body voltage is no longer sufficient to put the channel in inversion at the point x .9) The presence of a positive VC B reduces the field in the oxide.2 Electrostatics 175 Electron energy E0 = El ΦG EFG −qV GB E0 −qy(y) ΦS EC EFi EFB EV El (y) qf B T −qVGB qf B EC EFi EFB E V EFG GATE OXIDE BODY y (a) GATE OXIDE −t ox 0 (b) BODY W y Figure 10.6 (a) Energy-band diagram at flatband: VG B = V f b ≡ −Vbi . and the effect of the latter is to make the body charge Q b more negative. 10.7. between which we apply a voltage VDS . Thus.7 Energy-band diagrams in the y-direction.7) becomes n(x. then the drain current should easily follow.e.176 10 MOSFET basics E0 Electron energy −qy (y) EC El (y) qf B T −qVGB qf B E0 EC El (y) −qVGB EFi EFB E V EFn qVCB EFi EFB E V EFG EFG GATE OXIDE −tox 0 (a) BODY W y GATE OXIDE −tox 0 (b) BODY W y arising from the application of a drain-source voltage.. y) = N A e[ψ(x. we make the Gradual Channel .3 and 10.11). (b) With VDS > 0 and VG B unchanged. (10. the electron concentration is a function of x and y. as the source end of the channel is approached.3.10) If we can determine n(x. ∂ 2ψ q NA ∂ 2ψ 1 + e(ψ−2φ B −VC B )/Vth . + = ∂x2 ∂ y2 s (10. As x → 0. the effect diminishes. showing the effect of a channel voltage VC B under consideration. i. 10.4 describe two approaches to achieve this goal.y)−2φ B −VC B (x)]/Vth . The following Sections 10.3 10. Figure 10. (a) The threshold condition with VDS = 0.1 MOSFET I-V characteristics from the surface-potential model Surface potential The MOSFET we are considering is assumed to be invariant in the z-direction. so Poisson’s Equation for the body and channel regions is. and (10.11) The controlling field for the charge in a well-designed FET is E y . y). Recognizing this. using the DriftDiffusion Equation. neglecting hole charge in the body’s space-charge region. for example. and simultaneously allowing a tractable solution to (10. 3 MOSFET I-V characteristics from the surface-potential model 177 Approximation: ∂ 2ψ ∂x2 ∂ 2ψ . to the surface.10. 10.13) and (10. q (10.16) (10.13) where Q s is a function of x through ψs (x). where ψ = ψs and ∂ψ/∂ y = E y (0). The last limit is the field in the semiconductor at the oxide/semiconductor interface. ∂ y2 (10. As we are working towards an expression for the terminal characteristics of the device.19) The name refers to the fact that the term √ 2 s N A comes from consideration of the charge in the body. A point x in the channel is in weak inversion when 0 < ψs (x) < φ B + VC B (x) .17) (10. 4 (10. multiply both sides of it by ( ∂ψ )∂ y and then integrate from deep in the ∂y body. . Using this and Gauss’s Law (E y (0) = −Q s / s ). Before examining how ψs changes with VG B . 10. we take inversion to mean the presence of electrons at the surface. q (10. as is required for there to be a drain current. a point x in the channel is in moderate inversion when φ B + VC B (x) < ψs (x) < 2φ B + VC B (x) . the potential applied to the body terminal.15) (10. and by applying Gauss’s Law once more to obtain Q s (x) = −Q G (x) = −Cox ψox = −Cox VG B − V f b − ψs (x) . is zero) and ∂ψ/∂ y = 0. For VG B we can do this by realizing from Fig. we can then get an expression for the charge per unit area in the semiconductor: Q s = − 2q s N A ψs + k B T ψ /V e s th − 1 e−(2φ B +VC B )/Vth .12) To solve (10.4 The x-dependence of ψs enters through the channel/body voltage VC B (x). where Q G is the charge per unit area on the gate electrode. let us use the surface potential to define the state of inversion in the channel of the MOSFET. (10. Here.18) (10.14) √ where γ = 2q s N A /Cox is called the body factor.15) provide an implicit relation between ψs and VG B : ψs = VG B − V f b − γ ψs + k B T ψ /V e s th − 1 e−(2φ B +VC B )/Vth .5 and Fig.11). a point x in the channel is in strong inversion when 2φ B + VC B (x) < ψs (x) .6 that VG B − V f b = ψox (x) + ψs (x) . we need to bring in the applied voltages. where ψ = 0 (assuming VB . 2 0. Thus. Electrostatically. due to any increase in VG B .. which is a plot of ψs vs. in our particular example. V f b is sufficiently negative that the source is in moderate inversion even at VG B = 0. It follows that strong inversion can only be re-established by .178 10 MOSFET basics 1.4 0. then strong inversion will not be achieved at the drain end of the channel! . The drain-induced potential on the channel reduces the y-directed field in the oxide. the near-saturation of ψs (0) occurs because the body becomes shielded.. Note that.8 0. A drain-source voltage is applied.8.8 shows the situation for two . at the onset of moderate inversion n(x. that Cs When ψs at the source end rises to 2φ B . or screened. until the effect of VC B (L) = VDS has been overcome by VG B .4 surface potential (V) 1. at VDS = 1 V if VG B is limited to 1 V also. and the strong-inversion region is entered. increasing VG B . there is barely any further change in the depletion layer at the source-end of the channel. VG B at the two ends of the channel: ψs (y) = ψs (0) at the source end and ψs (L) at the drain end. This is the situation described in Section 10. the charge per unit area in the semiconductor (Q n + Q b ) is sufficiently large (negatively) that Cs becomes comparable to Cox . and at the onset of strong inversion n(x. i. Note that.1 when Q s is so small Cox . These important markers are shown in Fig. Fig..25 V 1 2f B ys (0) 0. i. Thus. leading to near-saturation of ψs (0).6 VGB (V) 0. values of VDS . from the gate by the large negative charge Q n in the channel. VG B for a CMOS90 N-FET with parameters listed in Appendix C.8 1 Figure 10. ψs follows with a linear correspondence. the electron concentration per unit volume reaches N A at the surface. and consequently requires that less negative charge be present in the channel. it is not long before Cs becomes much larger than Cox .e. 10. field lines from any new charge on the gate. i. Shortly after this.2 2fB + 0.25 V 1. 10. When VDS = 0.6 ys(L) VDS = 1. for this particular example. 0) = N A .0 V VDS = 0. and the capacitor-divider action allows ψs to track VG B almost perfectly.e.25 V it can be seen clearly that strong inversion at the drain is not reached until ψs (L) > 2φ B + VDS .e. Turning now to the drain-end of the channel. As the surface concentration of electrons increases exponentially with ψs (due to injection across the source/body np-junction).6 0 0.8 Surface potential vs. VS B = 0 and VD B > 0. As VG B increases. terminate almost entirely on the electron charge in the channel. 0) = n i . and ionized acceptors in the depleted region of the body Q b : Q s (x) = Q n (x) + Q b (x) . . neglect the charge due to holes. is dropped entirely across the space-charge region of ionized acceptors.20): Q n (x) = −Cox VG B − V f b − ψs (x) − γ ψs (x) .3 MOSFET I-V characteristics from the surface-potential model 179 10. we also assume that µeff does not depend upon the x-directed 5 This convention defines a device current as positive when positive charge enters a terminal of the device.24) d Qn .. i. Thus.22) The electron current density in a 2-D sheet. causing scattering at the semiconductor surface where it meets the oxide. 10. i. we assume that all the electrons reside in a sheet at the semiconductor surface. where VB is the potential applied to the body terminal. At this stage of our model development.e. (10. (10. On the right-hand side. and expect it to be less than the mobility in the bulk of a material with near-perfect crystallinity.15) and (10. the density per unit area of the mobile charge. and we have invoked the non-degenerate form of the Einstein Relation. then Q b is simply Q b (x) = −q N A W (x) = − 2 s q N A ψs (x) . so the current at the drain is positive.10.2 Drain current The charge per unit area in the semiconductor comprises electrons near the surface Q n . dx (10. Because of this. (10. The benefit of this is that there would be no voltage drop through such a sheet in the y-direction. The charge density per unit area in the channel then follows from (10. if we make the Depletion Approximation for this region.21) where W (x) is the depletion-region width. and that there is current leakage neither to the gate nor to the substrate. dx q dx (10. using the Drift-Diffusion Equation is Je = Q n vd − De and the electron current is Ie = Z Q n µe dψs k B T d Qn −Z µe . The flow of electrons in our case is in the positive x-direction inside the device. as noted in Fig.e. Ie is constant throughout the device. To do this we make the Charge Sheet Approximation.20) To proceed towards finding the current we need to know Q n . by IEEE convention.1. so the voltage ψs − VB .3. (10. the left-hand side of the above equation is simply I D L. we call the mobility in the channel the effective mobility. we recognize that the mobility will be affected by the y-directed electric field.23) where Z is the width of the transistor.25) Because we have assumed that there is no hole conduction.5 Thus L 0 ID d x ≡ − 0 L Ie d x = −Z ψs (L) ψs (0) µe Q n dψs + Z kB T q Q n (L) Q n (0) µe d Q n .. Further. in the x-direction. which produces the drain characteristic. were explained in Section 10. and then the ‘flattening out’.26) To perform the first integration. Note how each current component would merely change sign if ψs (L) and ψs (0) were reversed.. and makes for a more uniform electron distribution along the channel.e.22). 10. .2. Model parameters as given in Appendix C for a CMOS90 N-FET. respectively. (10.6 VGB (V) 0. and in Fig.16).diff = µeff Cox L q where ψs (L) and ψs (0) are obtained from (10. 10. electric field. and. which produces the gate characteristic. The second integration is trivial.180 10 MOSFET basics 10 −4 I ID (A µm−1) 10 −6 diff 10 −8 I −10 drift VDS = 1 V 10 0 0. so the drain current can be expressed as ID = Z µeff L ψs (L) ψs (0) −Q n dψs + kB T q Q n (L) Q n (0) d Qn .22).1. Both the drift and diffusion currents now become functions of ψs at the two ends of the channel: Z I D. This symmetry is to be expected because of the geometrical symmetry of the FET. and the dominance of drift at higher VG B . Examples of the current from this model are shown in Fig.2 0. after doing it. The gate characteristic is like the one shown in Fig.9 for the case of varying VG B . i.27) I D. 10. (10.8 1 Figure 10. The initial exponential form of the curve.4 0. substitute for Q n (0) and Q n (L) from (10.10 for the case of varying VD B .9 MOSFET gate characteristic from the surface potential model. use the dependence of Q n on ψs from (10. 10. The new information in Fig. with VC B = VD B and VS B . Drift gains importance over diffusion as the field E y strengthens with VG B .drift = µeff Cox (VG B − V f b )(ψs (L) − ψs (0)) L 2 1 − (ψs (L)2 − ψs (0)2 ) − γ ψs (L)3/2 − ψs (0)3/2 2 3 kB T Z (ψs (L) − ψs (0)) + γ ψs (L)1/2 − ψs (0)1/2 .9 is the dominance of the diffusion current in the ‘exponential’ regime. 10.0 V ID (A µm−1) VGS = 0. Initially. In Chapter 13 we consider short-channel devices in which L is so small that VD B also affects ψs (0). this influences I D and is undesirable because it is the drain’s job merely to sink the charge. i. 10. the driving force for the drain current reaches its maximum value and. Model parameters as given in Appendix C for a CMOS90 N-FET.6 6 This behaviour is characteristic of a long-channel device. Under these conditions.10 MOSFET drain characteristic from the surface potential model.22) γ ψ(L) ≡ ψs (Q n = 0) = − + 2 γ2 + VG B − V f b 4 2 ≈ VG B − V f b .3 MOSFET I-V characteristics from the surface-potential model 181 6 5 4 3 2 1 0 × 10 −4 VGS = 1. In other words. until the drain-end becomes moderately or weakly inverted (see Fig.e.75 V VGS = 0.. the channel behaves like a resistor. As VD B increases. Q n does not vary much with x. Under these circumwhere the approximate form applies if (VG B − V f b ) stances. strong inversion is lost progressively towards the drain. i. the latter becomes solely determined by VG B . Thus. Thus. (10. I D saturates. not to control its flow: that is the gate’s job. This region of strong correlation between ψs and VG B is evident in the near-linear portion of Fig.2 0. The drain characteristic is shown on a linear-linear plot for three values of VG S corresponding to the non-exponential part of the gate characteristic. Note: VS B = 0.6 VDS (V) 0.. the overall potential drop along the channel in the x-direction reaches its maximum value. the drain is ‘sinking’ all the charge that the source can supply for a given VG B . ψs (L) − ψs (0) ≈ VG B − V f b − 2φ B − VS B . An estimate of the relation can be obtained by setting Q n = 0 in (10. VC B in this region no longer affects the surface potential. To put it another way. the I D − VDS relation is essentially linear: VC B is small everywhere.8. .e. so that VG S ≡ VG B and VDS ≡ VD B . consequently.5 V 0 0. the channel is in strong inversion along its entire length. Q n near x = L is so small that the field due to the gate potential terminates almost entirely on the ionic charge in the body.8 1 Figure 10. assuming that the source-end of the channel is in strong inversion.28) γ 2 /4.4 0. 10.8). . However. ψs (x) = 2φ B + VC B (x) . note that Q n can never equal zero if current is to be maintained.org/index. and is the one that is most frequently featured in textbooks. rather than to the body.29) Obviously.182 10 MOSFET basics 10. The model has ‘source-referenced’ in its title because it refers all potentials to the source. (10. this assumption is going to cause the model to breakdown at high VDS .1 Basic assumptions of the model The model has ‘strong inversion’ in its title because it assumes that the surface potential is everywhere at its strong-inversion value.4 MOSFET I-V characteristics from the strong-inversion. However.7 The computational burden of the surface-potential model has been lessened by the advent of faster computers. 10. the phenomenon is known as channel-length modulation.asp?bid=597 . and any disadvantage it still has in this regard is considered by some to be outweighed by its greater accuracy.. but rather |Q n (L)| completely. 10. then the Secondly. Firstly.3. VC S (x) = VC B (x) − VS B . Thus. This has the effect of increasing the drain current. In this section we derive the strong-inversion. as VD B increases beyond the value at which |Q n (L)| |Q b (x)| occurs at x values closer to the source. in which L ≤ 100 nm.e. It is not as accurate as the surface-potential model. This is as though the condition |Q n (x)| effective channel length of the device were decreasing. In modern devices. nor does it preserve the symmetry of the FET’s characteristics. when we have already seen that strong inversion is lost at the drain. source-referenced model as a rather gross simplification of the surface-potential model. the effect is masked by the short-channel effect.geia. which we will discuss at length in Chapter 13.4. http://www.g. i. it is completely analytical in nature.3 Pinch-off and channel-length modulation Two cautions are issued about the above description of saturation of I D . 7 (10.g. The condition for saturation of I D is not |Q b (L)|. source-referenced model The next MOSFET model to be described is used in SPICE. We also use it to introduce the effect of a field-dependent mobility on the drain current. as noted earlier. e.30) Compact Model Council. nor does it provide a single expression that describes the drain current in all regimes of operation. and yields useful equations for circuitsimulation purposes.. the surface-potential model has recently been adopted by CMC as the industry standard. because some electrons are needed to carry the charge. e. the channel never pinches off really Q n (L) = 0. |Q b (L)|. e.e.35) Note that the expression for the threshold voltage contains no reference to the channel length L.34) where various terms have been collected together to define two useful parameters: the threshold voltage VT = V f b + 2φ B + γ and the body-effect coefficient γ m =1+ √ . i. 2 L (10.31) This just reinforces the notion of strong inversion everywhere in the channel. (10. the threshold voltage.4.27). the potential in the channel should not be disturbed too much from its strong-inversion value at the source by the application of a voltage VDS . but it opens the way for the introduction of a very useful parameter. The result is a compact expression for the drain current: I D = ZCox VG S − VT − m VDS VDS .33) (10. The implication of the first assumption of the model is that ψs (0) = 2φ B + VS B ψs (L) = 2φ B + VD B ψs (L) − ψs (0) = VDS ψs (L) = 2φ B + VS B + VDS .and at the drain-ends of the channel. For this reason. we start by taking the drift portion of the expression for I D from the surface-potential model (10. 2 2φ B + VS B (10.27). The second assumption of the model is that VC S 2φ B + VS B .. nor to the drain/source bias VDS . 10. i. (10. µeff .32) We make use of this inequality to perform a binomial expansion to the second order of the term ψs3/2 in the drift current part of (10. Accordingly. (10.10.36) 2φ B + VS B .2 Drain current for constant mobility The surface-potential model indicates that the drain current is mainly due to drift when the source is in strong inversion. This expression contains the surface potentials at the source. these properties do not influence conditions at the source.35) is appropriate for FETs that exhibit so-called . (10.4 MOSFET I-V characteristics 183 This change in reference causes the source/drain symmetry of the device to be lost. The implication of the second assumption of the model is that VDS 2φ B + VS B .. Note from this figure that. as could have been anticipated from our earlier discussion.34) with respect to VDS indicates that the maximum in current occurs at VDS ≡ VDSsat = VG S − VT . Model . then which (10. L 2m (10. parameters as given in Appendix C for a CMOS90 N-FET at VG S = 1 V ‘long-channel’ behaviour. We’ll return to these model parameters later.8. Differentiation of (10.63 V in our example. at VDS = 0. inversion is lost at the drain when VDS = 0. At higher VDS the current starts to decrease! This strange behaviour is indicative of the breakdown of the model. this occurs when strong inversion is lost at the drain.2 0 0 0. start to flatten-out at VG B = 1 V when VDS = 0.184 10 MOSFET basics 1 × 10 −3 Threshold–voltage model 0.6 VDS (V) 0.95 V in our example.63 V In other words.34) is plotted. but now let us focus on Fig. 10..34) reduces to the linear relationship of a resistor.11 Drain characteristic: comparison of SPICE Level 1 model and the PSP model.8 0.8 would . Note that for low VDS .38) . the maximum value of ψs (L) is about 1. The way that the model copes with its deficiency is to assume that I D stays constant at the value at the top of the curve. 10. . ψs in Fig. in 2(VG S − VT )/m. strong . VDSsat is the saturation voltage.37) i.8 1 Figure 10.63 V This is the voltage at which the drain current in Fig.58 V As 2φ B = 0. 10.2 0.6 ID (A) 0.e.11 reaches its peak. and. 10.34) gives an expression for the saturation current I Dsat = (VG S − VT )2 Z Cox µeff . m (10. ‘Short-channel’ effects on the threshold voltage are discussed in Chapter 13. Substituting VDSsat into (10. In fact. for a supply voltage of 1 V .4 Surface–potential model 0.11.4 0. when VDS (10. the value of VDS at which the model breaks down can be determined from Fig. 10. It can be seen. that the agreement is not very good.2. 10.1 V later. This leads us to call into question the validity of the first assumption (10. This observation was made many years ago [7].6 0.11.8 1 Figure 10. 10. 10.3 Comparison of the surface-potential and SPICE models The drain characteristics for the two models are compared in Fig. This equation for the saturation current and (10.. This does not happen until about 0. indicating the screening of the body from the gate voltage by the large charge density in the channel. The assumption there is that ψs (0) = 2φ B + VS B at the onset of strong inversion. but seems to have been largely ignored.2 0. Tsividis suggested that the surface potential at strong inversion should be raised by about 6Vth .4 Threshold–voltage model 0.4. Here. until the volumetric charge density of electrons at the surface has become much greater than N A . first introduced in Section 10.34) for the below-saturation region constitute the Level 1 model in SPICE. Consider the source-end of the channel.12. body-effect coefficient and channel charge density We now discuss the threshold voltage and the body-effect coefficient. 10. for example. Fig. and relate them to the charge density in the channel Q n . value by 5Vth .6 VDS (V) 0.4 MOSFET I-V characteristics 185 1 x 10 −3 Threshold–voltage model 0. .12.2 y (x) = V (x) + 2f + 5kT/q s CB B 0 0 0. Model parameters as given in Appendix C for a CMOS90 N-FET at VG S = 1 V This constant-mobility model is often referred to as the square-law model.4 Threshold voltage. and also from Fig. 10.8 ys(x) = VCB (x) + 2fB Surface–potential model ID (A) 0. indeed.e. brings the SPICE model into much better agreement with the surface-potential model. we find that augmenting 2φ B by 5Vth .10.12 Drain characteristic: comparison of the PSP model (dashed line) with the SPICE Level 1 model.4 0. but it is clearly not the value at which ψs becomes relatively flat.4.29).4. the value at which ψs starts to increase less strongly with VG B . in Fig. for both the case of ψs (0) = 2φ B and for the case of ψs (0) increased above this . i.8 shows that this is. Applying the second assumption of the SPICE model to this term and expanding it to first-order.39) where VG has been referenced to the source. Turning now to the body-effect coefficient m. the result is Q n (x) = −Cox VG S − V f b − 2φ B − γ 2φ B + VS B . The left-hand sketch illustrates conditions at the drain end of a FET with VDS = 0. using VG S = VG B − VS B . (10.13.27). where the term ψs appears. Its appearance in the strong-inversion model is an attempt to include in this model the effect of the body in contributing to the reduction in channel charge Q n when VDS is increased. consider Fig.22). The portion of the gate that is shown has 4 positive charges. This equation can then be written compactly as Q n (x) = −Cox [VG S − VT − mVC S (x)] . as shown in (a).41) (10. At the source-end of the channel Q n (0) = −Cox [VG S − VT ] . γ −VC S (x) 1 + √ 2 2φ B + VS B (10. To appreciate this. and with the channel in strong inversion everywhere. The right-hand sketch shows the charge distribution after application of some VDS > 0. recall that it entered into the stronginversion model during the expansion of the term involving ψs (0)3/2 and ψs (L)3/2 in the equation for the drift current in the PSP model (10. VT would be even more useful if the occurrences of 2φ B in its expression (10. In light of the discussion at the end of the previous subsection. these are complemented by 2 negative charges in the channel and 2 negative charges in the body. 10.40) This leads to the useful interpretation of the threshold voltage as the gate/source voltage at which the channel becomes strongly inverted at the source-end. m(L) ≡ | Q n (L)/ Q G (L)| = 2 in this case. √ The surface-potential model expresses Q n in (10. The application of VDS > 0 in (b) changes the charge distributions from their values at VDS = 0. The positive potential on the drain has removed the electrons from the drain-end of the channel: one electron flowed .35) were augmented by 5Vth .186 10 MOSFET basics ++++ oxide + VGB body − − +++ oxide D VDB =0 + VGB body − − − − D + V DB >0 − − − − (a) (b) Figure 10.13 Illustration of the body effect at the drain end of the channel. 45) (10. as Fig. i. and warrants the inclusion of m in the expressions for I D in the strong-inversion model. It is left as an exercise for the reader to show that the two expressions for m are equivalent. 10. the body. The relationship between the drift velocity and Ex is shown in Fig.13. . the presence of a third region. In practice.13b.44) Generally. 10.8 Mathematically. See Exercise 10. the changes in charge are Q G (x) = Cox ψox (x) Q b (x) = Q n (x) = − Q G − = −Cox VC S (x) Q b = (Cox + Cb (x))VC S (x) ≡ Cox m(x) VC S (x) . which would be represented by Q n and Q G in this case.2. In a two-terminal structure. there is a one-to-one correspondence between the change in charges on the two plates. This adversely impacts the drain current. 10. Q G (x) (10. a loss of channel charge in excess of the corresponding loss of gate charge.g. 5. such as a parallel-plate capacitor..5 I D when mobility is field-dependent We now remove one of our earlier assumptions by allowing for the dependence of the effective mobility on the longitudinal field in the channel Ex .43) (10. vd (x). m(x) > 1 because some of Q n goes to change the charge in the body.e. and can be expressed as 1 1 1 = . the other flowed around the drain/gate circuit and annihilated one positive charge on the gate. However.4. 10. + vd µeff |Ex | vsat The drift current can be simply written as the charge times the drift velocity I D = ZCox [VG S − VT − mVC S (x)] . considering a change in VDS that changes the channel/source voltage from 0 to VC S (x) at some point x in the region shown in Fig.4 MOSFET I-V characteristics 187 around the drain/body circuit and ionized another acceptor.10. m is closer to 1 than to 2. e. 8 9 (10.. causes |Q n | > Q G .3.46) Note that m is not explicit in the PSP model because that model takes into account the effect of VDS on Q n via its more exact treatment of the surface potential. Cox (10. where an alternative to (10.42) (Cb (x)VC B (x)) ≈ −Cb (x)VC S (x) The ‘bottom line’ here is that m(x) is the ratio of the change in charge in the channel to the change in charge on the gate: m(x) = Q n (x) . m(L) = 2 in Fig.36) for the definition of m has emerged:9 m(x) = 1 + Cb (x) .13b illustrates. 46) gives I D = ZCox VG S − VT − m VDS VDS .48) − VT ) . 2 L + (µeff VDS /vsat ) (10.14 for two MOSFETs.48) and (10.14 Comparison of the SPICE Level 1 and Level 49 models.49).8 (A µm−1) LEVEL 1 __ LEVEL 49 0. are compared in Fig.47).49) The set of equations. which differ only in their channel length: 1000 nm vs.47) As in (10. it can be seen that the charge is still evaluated at x = 0. vsat √ 1 + 2µeff (VG S − VT )/(mvsat L) + 1 With respect to I Dsat for the Level 1 model. The corresponding new expression for the drain saturation voltage is 2(VG S − VT )/m VDSsat = √ .2 0. (10. at VG S = 1 V Substituting for vd .34). 100 nm. As in the constant-mobility case. µeff . 1 + 2µeff (VG S − VT )/(mvsat L) + 1 (10. Level 1 and Level 49. (10. if vsat were infinite.6 VDS (V) 0.6 L = 100 nm D 0.4 0. The device parameters other than the gate length. The two models. 10. Clearly. the charge appears as if it is evaluated where VC S = VDS /2. The models agree well . the two equations would be the same. This new value of I Dsat is I Dsat = ZCox (VG S √ 1 + 2µeff (VG S − VT )/(mvsat L) − 1 .6).188 10 MOSFET basics 1 x 10 −3 0. but the velocity is modified. the maximum value of I D can be taken as the value for the drain saturation current. which is the parameter here.2 L = 1000 nm 0 0 0. (10. constitute the Level 49 model in SPICE. This equation also exhibits a maximum value when the basic assumption of strong inversion breaks down at the drain-end of the channel (see Exercise 10. integration of (10. are listed in Appendix C for a CMOS90 N-FET . and using |Ex | = d VC S (x)/d x.8 1 Figure 10.4 I 0. but the velocity is now limited to a maximum value of vsat . where both reduce to (10. but very poor agreement in the shorter-channel case.52) e q 2 ψs In our discussion of the body-effect coefficient we emphasized that. with it being understood that VG S < VT in this weak-inversion condition. which shows the gate-bias dependence of ψs for a particular VS B .13). VG S < VT and the channel is everywhere in weak or moderate inversion.27).50) In the saturation regime there is good agreement in the long-channel case. This means that at the high values of Ex that can arise in the channel of a short-length device.5 Sub-threshold current 189 in the linear region. 10.21) we have Q n = − 2q s N A ψs + k B T (ψ −2φ −V )/V e s B C B th − q ψs . To bring in the threshold voltage.51) In moderate inversion ψs < (2φ B + VC B ). The aim of this section is to link the sub-threshold current to the threshold voltage and to VG S . which is the limiting velocity imposed by Level 49. Identify a particular surface potential ψs with a particular gate-source voltage VG S .10. and the inverse sub-threshold slope.52). consider the linear portion of Fig. no great loss of accuracy would result from choosing some constant value √ for ψs in the denominator. (10. and Q n (L) at VC B = VD B gives √ k B T 2 2q s N A (ψs −2φ B )/Vth −VS B /Vth Z √ 1 − e−VDS /Vth . The resulting expression is used in Chapter 13 to examine two important properties of transistors intended for high-speed logic applications: the ratio of the onset of the ON-current to the OFF-current. This means that there is essentially no field Ex . Therefore. and identify . From (10. with Q n (0) evaluated at VC B = VS B . vd can exceed vsat . because this then allows the term 2q s N A /(2 ψs ) to be written succinctly as Cox (m − 1). (10. so the first square-root term in the square brackets can be expanded using the binomial theorem.8. L (10. taking the diffusive part of (10.53) I D = µeff e e L q 2 ψs Because the dominant effect of ψs on the current occurs via the exponential term in the numerator. and substituting (10. the surface potential is barely influenced by VC B . (10. Here.20) and (10. we choose the value at the extreme end of the weak√ √ inversion regime (=2φ B + VS B ). µeff VDS . Keeping terms to first-order yields √ 2q s N A k B T (ψs −2φ B −VC B )/Vth Qn = − √ . 10. in weak inversion. so that the drain current is due to diffusion. (10. The disagreement is due to the fact that the Level 1 model uses a linear relationship between velocity and field (v = µeff Ex ).5 Sub-threshold current In the sub-threshold regime of operation.50) I D = ZCox (VG S − VT ) . 53) and dropping the prime symbol gives the desired form for the sub-threshold current ID = Z µeff L kB T q 2 Cox (m − 1) e(VG S −VT )/mVth 1 − e−VDS /Vth . This relationship is evident in Fig.15. we have 1 2φ B + VS B − ψs dψs = .4 V 0.27): evidently the two equations give excellent agreement. . by the drain potential VD . 10. = d VG S m VT − VG S (10.6 Applying the long-channel models The models presented in this chapter are appropriate for use with MOSFETs in which long-channel behaviour applies.1) for the potential-divider action of the oxide and body capacitances. 10.2.. Model parameters as given in Appendix C for a CMOS90 N-FET.2 0.55) with the result from the surface-potential model (10. the surface potential 2φ B + VS B with VT . and also in Fig.54) Putting this into (10. so from (10. i.e. from which the current issues.6 (V) 0.55) This expression brings out the exponential dependence of I D on VG S in the sub-threshold region. (10. The latter compares the result from (10.0 V 10 −12 0 0.190 10 MOSFET basics 10 −4 10 ID (A µm−1) −6 Surface–potential model 10 −8 10 −10 Sub–threshold equation VDS = 1.43) for the body-effect coefficient and (10.8 1 GS Figure 10. 10. This does not mean that the transistor is necessarily physically long: it means that the charge and potential at the source end of the channel. In sub-threshold |Q n | |Q b |. are not influenced by conditions at the drain.15 Sub-threshold current comparison: (SPICE Level 49 vs. PSP). The charge at the source end of the channel in the strong-inversion. These are usually good assumptions to make because the gate oxide.16 MOSFET DC equivalent circuit. VBS ) GS Figure 10.7 DC equivalent-circuit model 191 G S D ID = f (V . To maintain gate control of the channel in FETs that are physically short is one of the challenges faced by designers of modern Si FETs. The structural and physical changes to FETs that have been introduced to maintain long-channel behaviour in physically short devices are discussed in Chapter 13. for which the channel length is < 50 nm. The success that has been achieved in this regard means that the models of this chapter. In the surface-potential method. would not then be determined solely by pre-designed physical properties: it would become bias dependent. source-referenced model is Q n (0) = −Cox (VG S − VT ) . is a good insulator. can still be applied to truly short-channel FETs. with some modifications. This is undesirable because the threshold voltage. it would depend on the operating conditions. and because the source/substrate n + / p barrier is much higher . i.10. and is independent of VDS . VDS.16) ψs (0) = VG B − V f b − γ ψs (0) + kB T exp q ψs (0) Vth − 1 exp −(2φ B + VS B ) . even though it is very thin. the surface potential at the source-end of the channel is given by (10. These equations.7 DC equivalent-circuit model The DC equivalent circuit for a MOSFET is very simple if the possibilities of charge flow from the channel to the gate and to the substrate are ignored.35). (10. In FETs with physically short channels. and the models from which they are derived.e.57) which is independent of VD B . the proximity of the drain to the source leads to the possibility of some of this control being surrendered to VD .. for example. emphasize the control of the critical source conditions by the gate potential VG .56) where the threshold voltage is given by (10. 10. Vth (10. and the source-to-drain current path through the substrate.4 10. Thus.9 10.. A widely used expression for the saturation voltage in long transistors is VDSsat = (VG S − VT )/m.36) for the body-effect coefficient m is equivalent to the definition for m(x) in (10.58) 10. with the particular I D (VG S . are both shorted out when there is an inversion layer present. 10. Perform the derivation of (10.8 10.35) to evaluate the threshold voltage for N-FETs from the CMOS90 and CMOS65 technologies. the gates are only doped at 1 × 1017 cm−3 . sourcereferenced’ models from the ‘surface-potential’ model is that VC S (x) (2φ B + VS B ) . with VDS = 1.5 10. Show that (10.2 One of the major assumptions in deriving the ‘strong-inversion.47) for I D following the procedure stated in the text.3 10. obtain a .43). log10 I D -VG S plot for the sub-threshold regime. when the latter is evaluated at the source end of the channel.0 V . (b) Of all the parameters that were changed in going from the 90-nm technology node to the 65-nm technology node. i.1 A process engineer incorrectly sets the flow rate of phosphorus that is used to dope the poly-silicon gates of N-MOSFETs. Instead of the usual very high doping.10 Justify the making of this assumption for CMOS90 N-MOSFETs.6 10. Show that the reason why the Level 49 model breaks down is because it predicts E(x) → ∞ at VC S (L) = VDSsat .7 10.192 10 MOSFET basics than the source/channel n + /n barrier. At what gate length does this expression start to become inaccurate? A certain semiconductor material has an energy band structure in which the curvature around the extrema is greater for the valence band than it is for the conduction band. By how much does this change the threshold voltage of the transistors? 10. which is the one most responsible for the large difference in long-channel VT ? (a) For an N-FET with the CMOS90 parameters listed in Appendix C. (10. If MOSFETs of a given channel-length L were made from this semiconducting material to supply a given current at a given overdrive voltage (VG S − VT ). which type of FET (n-channel or p-channel) would have the smaller footprint? (a) Employ the ‘long-channel’ threshold voltage expression (10. Compute the magnitude of the body-effect coefficient for the CMOS90 process. Exercises 10.e. VB S ) relationship being given by whichever of the expressions for I D and I Dsat given in this chapter is appropriate. the source-to-substrate current path. the equivalent circuit for the ON condition can be represented as a voltage-controlled current generator (see Fig.16). VDS . E. This is why engineers wear a well-grounded wrist band when testing FETs. Li.g. vol. which FETs would be more likely to be destroyed? Batch A: L = Z = 200 nm and tox = 40 nm. The reason for this is to accommodate the reduction in actual threshold voltage due to increasing short-channel effects. World Scientific Publishing Co. Wu.018..J.D.J. R.12 10. 1.14 (b) Add to the plot from (a) the corresponding data for an N-FET from the CMOS65 process. Smit. 1933. Batch B: L = Z = 2000 nm and tox = 4 nm. 1 × 1018 cm−3 . van Langevelde.13 10. IEEE Trans. did the change from CMOS90 to CMOS65 follow Moore’s Law? Evaluate the saturation current if ballistic transport were to occur in the channel of an N-FET. PSP: An Advanced Surface-Potential-Based MOSFET Model for Circuit Simulation.B. Jha. Explain your choice of electron effective mass.900. Is the temperature rise desirable? In the previous two questions the trend for the ‘long-channel threshold voltage’ to increase as devices scale down was noted.10 it can be taken that real N-FETs in the CMOS90 and CMOS65 technologies have the same OFF current.. [2] C. p. G. .. would the margin between the values of I Dsat for dissipative and ballistic transport lead you to try to develop ballistic Si MOSFETs? By transferring a large amount of charge to the gate of a FET it is possible to cause the gate oxide to break down. Device for Controlling Electric Current. If the target value for the long-channel VT is 0. and tested FETs from the following two batches. X. March 7. a metal gate.M. The parameters for CMOS45 are not yet well known.T. US patent. Estimate the temperature of the CPU chip and add the appropriate curve to your sub-threshold plot.. 1991. e. Klaassen. Fundamentals of Solid-State Electronics. References [1] J. . If you were R&D Manager of a large semiconductor company. but one might expect a thicker high-k dielectric for the gate oxide. Sah. r = 16 and tox = 8 nm. 53. and perhaps a slightly reduced doping density in the body.References 193 10. A. (c) If the 65-nm technology was designed to give the same OFF current as for CMOS90 devices. A.11 10. Singapore. W. e. 521. [3] G. 1979–1993. Electron Dev. Scholten and D. H. Gildenblat. If performance can be evaluated as the ratio of maximum ON current (I Dsat ) to OFF current. If an engineer neglected to wear a ground band. Wang. 2006.g. Lilienfeld.45 V what must be the workfunction of the metal gate? From Question 10. (d) Imagine that the microprocessor in your laptop computer uses CMOS90 FETs. what is the threshold-voltage shift in 65-nm FETs due to short-channel effects? Assume that there are no short-channel effects in CMOS90. [7] Y. Operation and Modeling of the MOS Transistor. John Wiley & Sons. 1989.194 10 MOSFET basics [4] W. Operation and Modeling of the MOS Transistor.G.. 1987. p. 1999. Tarr.L. [6] D. Prentice-Hall. .. 1st Edn.. Tsividis. Mc Graw-Hill. Including BSIM3V3 and BSIM4. 2nd Edn. [5] Y. Introduction to Microelectronic Devices. Inc. Pulfrey and N. MOSFET Models for SPICE Simulation. 2001. Chap. Tsividis. 4. 57. Liu. Oxford University Press. The mobility is further enhanced in a HEMT by reducing the doping density in the barrier layer proximal to the channel. to create a vertical field to control the charge in the channel. who performed pioneering studies on these contacts in the 1930s. On 1 2 After Walter Schottky. often due to the contamination and imperfection of the interface. such as mobility (see Fig. 11. can also affect the electrostatics.11 HJFET basics Originally. The high quality of the SiO2 /Si system in Si MOSFETs has not proved possible to replicate in other semiconductor systems. The two main devices that exploit this are shown in Fig. Quantum-mechanical effects due to the confinement of electrons in the channel not only improve the mobility. as explained in Chapter 17. but these factors are not considered here. 11. to capitalize on the advantages that the latter semiconductors may have over silicon. which is a prerequisite for good high-frequency perfomance (see Section 14. and still realize a FET device. and by exploiting the two-dimensional nature of the channel.2 Such a situation is shown in Fig. but also lead to improved noise characteristics. and by the electron affinity χ S of the semiconductor. particularly those involving III-V compound semiconductors. All these transistors are HJFETs (heterojunction FETs) on account of the presence of a metal/semiconductor heterojunction in their structures. The use of high-mobility semiconductors in MESFETs and HEMTs enables attainment of a high transconductance. and relates to the fact that the doping in the top barrier layer plays a key role in controlling (modulating) the channel charge. 11. rather than a metal/insulator/semiconductor combination.2: the MESFET (metal-semiconductor FET). This has been done by using a metal/semiconductor junction.1). the most significant difference between a MOSFET and a BJT was the high input impedance afforded by the gate insulation of the FET. Therefore. The latter device is also sometimes called a MODFET.4). in the form of dipoles and surface states.1 Schottky barrier Metal/semiconductor junctions that exhibit rectifying characteristics are called Schottky barriers.1 The simplest situation is when the electrostatics of the junction is determined by the work functions of the two components.3 for the case of M > S . where MOD refers to modulation doping. Charges at the interface. 11. . and the HEMT (high-electron-mobility transistor). some other way of implementing the field-effect is necessary. From Sze [1]. Bottom: the HEMT. field for various semiconductors. S n + cap (a) G n + cap n channel buffer D semi-insulating substrate S n + cap (b) G n + cap undoped GaAs buffer layer semi-insulating substrate D AlGaAs n-type undoped 2-DEG Figure 11. C John Wiley & Sons Inc. Both are grown on a semi-insulating substrate.53As InP Electron drift velocity (cm s−1) 107 GaAs Ge 108 107 106 106 Si T = 300 K 105 102 103 104 105 −1) Electric field (V cm 106 Figure 11. illustrating the relative inferiority of silicon. Top: the MESFET.47In 0. 2002.196 11 HJFET basics 108 Ga 0.2 The epitaxial-layered structures of two HJFETs.1 Drift velocity vs. . reproduced with permission. forward bias means a negative potential on the semiconductor with respect to that on the metal (see Fig. and does not lead to much disturbance from equilibrium in the junction region. a depletion layer forms (see Fig. bringing together the metal and the semiconductor. In this respect.11.3b).5). The applied bias also changes the height of the barrier for electrons crossing the junction from the semiconductor to the metal.1 Schottky barrier 197 E0 Electron energy ΦM c −qVbi EC EFS EFM EV ΦB El (x) EC (x) EF EV (x) x (a) 0 W (b) x S Electron energy −q (Vbi + Va ) El (x) E0 −q (Vbi + Va ) El (x) −qV a EFM EFn EFM −qV a EFn 0W (c) x 0 (d) W x Figure 11. the net flow across the junction is determined to some extent by the subsequent diffusion of the injected electrons in the pregion. For an ntype semiconductor. the width of which can be modulated by applying a voltage to the diode. 11. (c) Forward bias.3 Energy-band diagrams showing a metal/semiconductor junction. Contrast this to the situation . However. electrons transfer from the latter to the former to equilibrate the system. Thus. 11. in the latter. (b) At equilibrium. This is usually the bottleneck to transport.3c). the behaviour is no different from that of an np-junction. (d) Reverse bias. the quasi-Fermi levels can be regarded as being almost constant across the junction (see Section 6. Thus. (a) Prior to joining the two components. the full expression for the current is Je ≡ Je (S → M) − Je (M → S) = q NC e− B /kT (e−q Va /kT − 1)v R . which depicts thermionic emission.11) the current density due to electrons injected into the metal can be written as Je (S → M) = qn 0 e−Va /Vth 1 vR .198 11 HJFET basics shown in Fig.4) 11. and the current across the junction has to be driven by a change in E Fn . and from (4. 11. As discussed in Section 6. The I-V characteristic becomes linear about the origin. Such a situation arises because the electrons injected into the metal are not throttled by diffusion. if the barrier becomes very thin (less than ≈5 nm). The situation is illustrated in Fig. then the bands will bend very steeply in the semiconductor (see Fig. quasi-equilibrium can still be considered to apply in the semiconductor itself. At zero-bias. In this case.. Such contacts are desirable when the metal is required merely to connect the semiconductor to external circuitry with minimal voltage drop. From (9. This flow from the metal is not affected by the applied bias as B is fixed by the material constants.4b).e. then tunnelling of electrons can take place.3) where B = ( M − χ S ) is the Schottky barrier height. the current is due to a relatively few energetic electrons drawn from a much larger pool of electrons that remain in an equilibrium distribution. and a large current can be maintained by an infinitesimal field. From Fig. If the doping density is very large. the bottleneck to charge transport is the junction itself. 11.3.14) n 0 = NC e − so Je (S → M) can be written as Je (S → M) = q NC e− B /k B T B /k B T . (11.3c. Thus.1. i. Je (S → M) must be balanced by an equal flow of electrons from the metal to the semiconductor Je (M → S). Both ohmic and rectifying contacts are used in the HJFETs described in the following sections. (11. and the contact is said to be ohmic.1) where Va < 0 in forward bias. Electrons can pass through such a barrier in either direction.3b. Note that this current density is positive if the x-direction is defined as x increasing to the right. 11. The situation is akin to that in an N p heterojunction with a very short base. .1 Thermionic emission and tunnelling Despite the discontinuity in the quasi-Fermi level at the interface. They join the multitude of electrons already in the conduction band of the metal (≈1023 cm−3 ).7.4a. Thus. It is guaranteed that the number of thermionically emitted electrons is relatively small if the depletion-region potential drops by at least k B T eV within one mean-free-path length l¯ of the interface [2]. (11. where there is an abrupt change in E Fn at the interface. so the rectifying nature of the contact is lost. (11. this indicates a severe departure from equilibrium.2) e−Va /Vth v R . 11. then the channel is ‘open’. the drain end of the gate/semiconductor Schottky barrier is more reverse biased. 3 Semi-insulating refers to a semiconductor in which the conductivity can be reduced to near-intrinsic proportions. W is less than the thickness of the active layer. usually by charge compensation involving defects in the material [3]. while the gate metallization is chosen to make a rectifying contact to the less heavily doped n-type ‘active layer’. define a channel. Overall control of the space-charge-region width W (x) is due to the bias VG S applied to the Schottky diode. The value of VG S required to do this is the threshold voltage VT : it is negative for n-type semiconductors. FETs which are ON when VG S = 0 are termed depletion-mode FETs. and at the n/semi-insulating junction. The band-bending in this layer.1 Channel formation and threshold voltage In the top part of Fig. so the space-charge region is wider there. (b) Tunnelling of electrons in the shaded portion of the distribution through a barrier with a thin space-charge region. These n-regions are grown epitaxially either on a weakly doped p-region or on a semi-insulating substrate. To ‘close’ it the Schottky diode must be reverse biased sufficiently for the depletion-region edge to reach the interface between the active and semi-insulating regions.2.3 11. (a) Thermionic emission of electrons in the shaded portion of the distribution over a barrier with a thick space-charge region. as illustrated in the lower part of the figure. If. The n + ‘cap’ layer assures ohmic contacts for the source and drain. This bias makes the semiconductor potential at the drain end of the device more positive than at the source end.5 the space-charge region is shown in a MESFET with VDS > 0. Thus. .2 MESFET The basic MESFET structure is shown in the top part of Fig. 11.11. 11. through which charge must pass en-route to the drain from the source.2. 11.2 MESFET 199 Electron energy −q (Vbi + Va ) El (x) E0 −q (Vbi + Va ) El (x) −qV a EFM EFn −qV a EFM EFn 0 (a) x 0 (b) x Figure 11.4 Charge transport at the Schottky barrier interface. at zero bias. with respect to the gate. in fact. whereas. 11. These models predict that the channel charge goes to zero at the drain-end of the channel. consider that transport is due only to drift: Je = −qn vde . (11. The closure of the channel must not be taken literally. To open up a channel in this case it would be necessary to forward bias the Schottky junction. It is to be taken in the same context as ‘pinch-off ’ of the channel is used in MOSFETs. so there is a limit to the forward bias that can be applied: it is set by the ‘leakage’ current to the gate that can be tolerated.200 11 HJFET basics S n+ 0 buffer / substrate G W L D n+ x EC E Fn 0 depletion region a channel y Figure 11. A case in which there would be truly no channel would be if the semiconductor active layer were so thin that the depletion region at zero-bias reached right through the semiconductor. It marks the onset of draincurrent saturation in FET models of the ‘Level 1’ variety. and is only a few tenths of a volt.5) Energy .5 Channel formation in a MESFET.1 that the current grows exponentially with forward bias. A MESFET working in this mode would have a positive threshold voltage and would be called an enhancement-mode device.2. the charge is small.2 Drain current To obtain a rudimentary expression for the ON current in a MESFET. Recall from Section 11. but finite. and the current is sustained at a high value by virtue of the fact that the electrons are moving very quickly in this part of the channel. The drain current is vde = µe Ex = µe I D = −Je Z (a − W (x)) .9) is examined at various VDS . (11. and indicates that our models break down at some point.. yields ID = G0 VDS − 2 1/2 3V P (VDS + Vbi − VG S )3/2 − (Vbi − VG S )3/2 .4 (see Fig. i.10) L and V P is the so-called pinch-off voltage: it is the potential drop across the depletion region at threshold. If (11. I Dsat = G 0 VG S − VT − 2 3V P 1/2 [(V P )3/2 − (Vbi − VG S )3/2 ] . which assigns a positive value to a positive charge flow entering a device from an external terminal. We use a ‘Level 1’ model for the drift velocity-field relation ∂ VC S .22) yields W (x) = 2 s (Vbi − [VG S − VC S (x)]) . so VT is negative. before becoming smaller at higher VDS .2 MESFET 201 with vde being the electron drift velocity in the channel. (11.7) where a is the thickness of the active layer.11).6) (11.e. This is the same behaviour as observed for the ‘strong-inversion. it can be seen that the drain characteristic is linear at low VDS . (11. and integrating. Z is the width of the transistor.11. W (L) = a. then it reaches a peak.9). In a depletion-mode device V P > Vbi . the model is extended into the saturation region by allowing I D to remain constant at the peak value given by (11. G 0 = qµe N D a VP = a2q N D = Vbi − VT . i.13) . From np-junction theory. the MESFET model breaks down when VDS ≡ VDSsat = VG S − VT . As before. q ND (11. source-referenced’ models for the MOSFET in Section 10. 10. (11. ∂x where VC is the channel potential.8) Substituting in (11..7) for W and for vde . Here. (6. 2 s (11.9) where G 0 is the channel conductance when there is no depletion region: Z .. i. (11.e.12) This is the same condition at which the Level 1 model of the MOSFET was found to break down (assuming a body-effect coefficient of unity).11) where N D is the doping density of the active layer and s is the semiconductor permittivity.e. It is associated with the channel pinching-off at the drain end. and the leading minus sign supports the IEEE convention. Z = 300 µm. mutually perpendicular directions: they form a two-dimensional electron gas (2-DEG) in the channel in the plane parallel to the interface. semiconducting layer (see Fig.2 V This depletion-mode FET has . The large band-bending in the undoped semiconductor forms the other side of the confining ‘potential well’.6 is offered. It is for a power MESFET from Triquint. in this AlGaAs/GaAs case. as occurs in a MESFET. Instead.2. 11. T = 27 degC ID (mA) VD (V) which varies from 0 to −2. undoped.2). 11. as can be seen in Fig. 11. so there is a discontinuity in the conduction-band edge. This discontinuity defines one side of the channel. and the relevant feature here is the need to apply a negative VG S in order to turn the device OFF.4 V in increments of −0. the channel exists in an underlying. 11.. it is a depletion-mode FET. L = 500 nm.3 V and is intended for use in RF power amplifiers and switches. The confinement in the y-direction is such that the electrons are free to move only in the two. . i.e. the electron affinities of the two semiconductors are not equal. also forms a heterojunction with the channel layer. VT = −2.6 Drain characteristic of the TQHiP MESFET from Triquint. 9. The latter heterojunction is constructed in a similar fashion to that illustrated for the InGaP/GaAs HBT in Fig. within which are confined the electrons induced into the undoped semiconductor during the charge transfer that accompanies equilibration of the system.202 11 HJFET basics Measured l-V Characteristic Curve 300 µm Device. Figure 11. which is one of the factors that leads to the exceptionally high mobility of this transistor. However. . The parameter is VG S .7. The barrier semiconductor. Fig.3 HEMT In a HEMT. As an example of the drain characteristic of a MESFET. besides forming a heterojunction with the gate metal. the channel is not contained within the n-type material that forms the Schottky barrier. The absence of doping means no ionized impurity scattering. 1 The 2-DEG To appreciate the significance of the 2-DEG. (11.7 Channel formation in a HEMT.14) The boundary conditions for this case of an infinitely high barrier are ψ y (0) = ψ y (a) = 0 . Within such a well the Schr¨ dinger o Wave Equation (2. let us represent the ‘triangular’ well at the AlGaAs/GaAs interface by a ‘rectangular’ well. (11. as a further simplification. E 1 is the energy level of the first conduction sub-band arising from electron confinement in the narrow potential notch.3. For the moment. showing the Schottky barrier and the conduction-band edge in the underlying layers in an AlGaAs/GaAs HEMT. as illustrated in Fig. y dy 2 where the wavevector in the y-direction is ky = 1 2m ∗ E y .3) reduces to d 2ψy + k 2ψy = 0 . by a symmetrical barrier stretching to infinite energy. let us approximate this asymmetrical. The band diagram is drawn for equilibrium conditions.16) . 11.8.15) (11.3 HEMT 203 S n+ 0 G L D n+ x undoped low bandgap Energy EC E1 E Fn y doped undoped high bandgap Figure 11. finite barrier.11. 11. The bottom figure is a slice through the HEMT under the gate. and cannot be viewed as a continuum.n are allowed bands of energy in the unconstrained perpendicular directions x and z.18) (11. 3 · · · . but only in the directions perpendicular to that of the channel thickness. there are bands in this case too. triangular potential well at the AlGaAs/GaAs y interface by an asymmetric. finite. rectangular well. which is quantized according to the reciprocal of the length of the region of interest. and this is the important point.17) Therefore. Here.n = n 2 π2 . where A is some constant.g. because the length a is small. However. It follows that k y is quantized: ky = nπ . 2m ∗ a 2 2 (11. 2. if we assume .8 Representing the asymmetric. as we have done elsewhere in talking about the conduction band.. associated with each of the allowed energy levels E y. a n = 1. If we suppose that electrons stay near the bottom of these bands. the y-directed energy E y is also quantized E y. then a parabolic E-k dispersion relationship can be used to characterize these bands. e.19) Of course. as we saw in Chapter 2. finite. the allowed energy levels are widely separated. (11. So the solution is ψ y (y) = A sin(k y y) . Further.204 11 HJFET basics Electron energy E0 El EC EF cp EV a rectangular well approximation (a) y Energy E1 y yy∗ undoped spacer (b) Figure 11. the allowed energy levels are always quantized because of their relation to k. C Cambridge University Press 2002. Thus. the total energy can be written as E = E y. (11.2 The finite well Electrons cannot escape from an infinite well. reproduced with permission.4 The situation is illustrated in the lower 4 For a derivation of the wavefunctions in a finite potential well. as we implied above by setting ψ = 0 at y = 0. and courtesy of Patrick Roblin. Ohio State University.n .n + h k ⊥ 2 2 Sub-band 3 EF Sub-band 2 Sub-band 1 kz kx kx kz (a) (b) Figure 11. In other words. The wavefunctions are sinusoidal and the probability density function ψψ ∗ for the first energy level. (a) Unfilled bands. as determined by the position of the Fermi energy. (b) Some band-filling. as illustrated in Fig. In real devices.11. see Griffiths [5]. 11. at which there may be crystalline imperfections.3.n + h k ⊥ 2 2 Energy Ey.20) 2 2 2 where k⊥ = k x + k z .n + 2 2m ∗ 2 k⊥ . . 11. which would cause increased scattering and a reduction in mobility. a in formulating our expression for the discrete energy levels. an isotropic effective mass. for example. so electrons can escape through the sides of the potential well. 11.3 HEMT 205 Energy Ey. This is highly desirable for a FET because it keeps the electrons away from the interface. From Roblin and Rohdin [4]. the well is not finite.9 Two-dimensional energy sub-bands associated with each allowed energy level E y.9). there is a 2-D sub-band (see Fig. associated with each allowed energy E y. the probability density function for channel electrons is not zero in the barrier. indicates the greatest probability of finding the electrons as being at the centre of the well.n .8. or annulus.21) where L x and L z are the side-lengths of the channel.10). (d) Reverse bias at the threshold condition. and the leading 2 in the numerator accounts for spin. a so-called spacer layer. (a) Equilibrium. barrier layer just wide enough for the two depletion regions to just not overlap.10 Conduction-band diagrams illustrating the control of the electron concentration in the channel by the gate bias. Recall that in 3-D the corresponding problem was one of counting states in the volume of a sphere (see Fig. undoped region of AlGaAs barrier material. next to the interface. . panel of Fig. where L is the real-space length in that direction (see (2. (b) Equilibrium. it is a case of counting states in 2 a circle of area πk⊥ .3 Electron concentration in the 2-DEG To find the number of electrons in each sub-band it is first necessary to find the density of states in k⊥ -space. 11. (c) Reverse bias. The ‘skin’ of this circle has an area of 2π k⊥ ∂k⊥ . 3. is N2D = 2 2π k⊥ ∂k⊥ . Therefore. wide barrier layer. the number of states in our skin. Whereas the penetration into the barrier cannot be prevented. In 1-D a state occupies 2π/L of k-space.3. ionized-impurity scattering. (2π/L x ) (2π/L z ) (11.12)). namely.8. its effect on the mobility can be mitigated by incorporating a thin. 11. This removes a source of bulk scattering.206 11 HJFET basics Electron energy + + + + + + + + + El EC EV EF + + + + + + ++ + El EC EF GATE AlGaAs GaAs y (a) + + + EC (b) + + + + ++ + ++ EC + −qVa + + + ++ El (c) EFn −qVa El EFn (d) Figure 11. Here. Eventually. 11.n ln exp( )+1 . . These must come from the electrons already donated to the channel (see Fig. Thus.20). 11.. from (3. ≈1015 cm−2 . the 2-D density of states per unit area and per unit energy. we obtain n 2D.10a).25) where the subscript s reminds that this is a surface concentration: an upper limit would be around (1023 )2/3 . In this case n s → 0.10c by the electron quasi-Fermi level E Fn dropping towards the first allowed energy level E 1 (≡ E y. but do not overlap.n = sub−band m∗ f (E) d E .1 ).7. as the reverse bias is further increased. and if we assume that the top of each subband is at infinity. This is illustrated in Fig. 11. then. This is the equilibrium situation that is sought in HEMTs. and the applied voltage Va = VT (see Fig.24) Thus.n .10c). π 2 (11. This makes for an easy solution for the electron concentration in each sub-band. If the barrier layer is sufficiently thick that the two depletion regions do not overlap. the gate demands more electrons. To equilibrate this system the donors in the AlGaAs barrier layer supply electrons to both the gate metal and to the 2-DEG in the channel. 5 In modern HEMTs n s0 may be as high as 1013 cm−2 . is g2D = m∗ . (11. 11.23) Each sub-band starts at an energy E = E y.e.10d).33) n 2D. as first illustrated in Fig.22) Note that this density of states for each sub-band is independent of energy.n = m ∗ kT E F − E y. let us now bring them both together to form the structure of the HEMT under the gate. and finally dividing by ∂ E.11. π 2 kT ∞ (11. for each sub-band. π 2 (11.4 Controlling the channel charge by the gate potential Having considered the metal/semiconductor and semiconductor/semiconductor junctions separately. a situation will be reached where all the donors are called upon to donate electrons to the gate.n .5 Fig. labelled n s0 . If a reverse bias is now applied to the gate/barrier Schottky diode. then n s will reach its highest value. 11. the total concentration of electrons in the channel is ns = n=1 n 2D. i. 11. 11. on using the Fermi-Dirac distribution function and integrating. Depletion regions result at both ends of the barrier layer (see Fig. n s decreases.3.3 HEMT 207 Dividing by the real-space area L x L z .10b shows the case where the two depletion regions just meet at equilibrium. and converting ∂k⊥ to ∂ E via (11. and 4 eV respectively. It is possible to further increase I Dsat by applying VG S > 0. i. 11. so the enhancement mode of operation is limited by the source-gate leakage current that can be tolerated. so it will be ON at VG S = 0. The VG S increments are 0. and the other is a p − /n-Si homojunction.2 Consider a GaAs MESFET with a body thickness of 500 nm. and the familiar I D -VDS characteristic of a FET results.3 Consider a metal/X/Y HEMT with no spacer layer. The drain current is drawn from this charge.1 Consider two diodes: one is a metal/n-Si Schottky diode.e. Which diode is more likely to deliver a forward-bias electron current at the hemi-Maxwellian velocity limit? Give reasons for your answer. 2. The bandgaps of the X and Y are 4 and 2 eV respectively. X and Y are lattice-matched semiconductors. . 11.3 V 11. However.208 11 HJFET basics 800 700 VGS + 0. The n-type doping is quite high and is the same for both diodes. 11. and the saturation current will be progressively reduced as VG S is made more negative. gate width =100 µm. respectively.11.9V 0 1 2 3 4 5 6 Drain Voltage (V) Figure 11. . a doping density of .8 eV (a) Is this a depletion-mode or an enhancement-mode FET? (b) Calculate the threshold voltage.5 The drain I-V characteristic As we have just seen. with saturation being due to either pinch-off or velocity saturation. by operating the FET in the enhancement mode.. The work functions of the metal.9V Drain Current (mA mm−1) 600 500 400 300 200 100 0 0 − 0.15 µm .3. Power pHEMT 3MI). The electron affinities of X and Y are 1.5 and 3 eV . Exercises 11.5. X and Y can be taken as 3. N D = 1016 cm−3 and a Schottky barrier height of 0. An example of a commercial device that allows this is shown in Fig. Gate length =150 nm. . n s is controlled by Va = VG S . the device we have been discussing is a depletion device.11 Drain I-V characteristic for an AlGaAs/GaAs power HJFET from Triquint (0. Note that a positive VG S forward biases the gate/AlGaAs Schottky diode. 7. Gr¨ tzschel and S. Call this HJFET B. 1995. [4] P. plot the energy dependence of the 2-D density of states and of the 3-D density of states on the same graph. the conduction bands and valence bands for the two semiconductors. separated components of the device. with a small negative gate voltage applied. An Al0. Prentice-Hall. Introduction to Quantum Mechanics.5 As/GaAs HEMT has a barrier layer of thickness 30 nm and a channel that can be taken to be an infinite square well of thickness 8 nm. Kabiraj.g.A < gate. where is the metal work function. John Wiley & Sons. 053703. 2002. Which of the two HJFETs has the more negative threshold voltage? Familiarize yourself with (10. the zero-field and local-vacuum levels. Cambridge University Press. R.10b.. The Bethe Condition for Thermionic Emission near an Absorbing Boundary. Phys..M.5 Ga0. vol. 28. [2] F. except that gate. 11.15. . Call this HJFET A. (a) Evaluate the electron charge density in the channel from (11.. (ii) for the joined components at equilibrium.. This sequence shows an HJFET at equilibrium.J. 11. vol. 7. Consider Fig. Semiconductor Devices. Inc. Which transistor has the more negative threshold voltage. and for VT ≤ VG S ≤ 0. c and d. 2.6 11. Fig.B . At equilibrium. and the Fermi levels for the metal and the semiconductors under the following conditions: (i) for the three. which shows the equilibrium band diagram for an HJFET with a thicker barrier layer. Fig. E F lies mid-way between the first and second quantized energy levels in the channel. J. (b) Evaluate the threshold voltage for this transistor.4 11. Griffiths.25).10a. and why? Consider Fig. 2008. 4. Sze.5 11. 1 cm ≡ 1 eV). 103. Appl. For the case of conduction electrons.41). respectively. 2002. [5] D. Berz. The plot should reveal that the 2-D DOS at each sub-band energy level equals the 3-D DOS at the corresponding energies. showing to approximate scale in energy (e. [3] D. High-speed Heterostructure Devices. Modification of Charge Compenstation in Semio insulating Semiconductors by High Energy Light Ion Irradiation. Ghosh. Sec. Rohdin. Imagine that an equation of similar form applies to a HEMT over the full length of the channel. (b) What is the height of the Schottky barrier? (c) What is the height of the potential well at the X/Y interface? HEMT A and HEMT B are identical. 1007–1013. and with a gate voltage equal to the threshold voltage. Roblin and H.References 209 11.7 (a) Draw energy-band diagrams. 1985. Physics and Technology.6. 2nd Edn. References [1] S. Solid State Electronics. it is the change in charge within the device due to electrons that have entered from the emitter to set-up a new steady-state charge profile in the transistor in response to a change in base potential. The approach taken presents capacitance in a general way that can be applied to all transistors. highfrequency signal processing. and allows for non-reciprocity.1 Defining capacitance Capacitance is related to charge. as in junction capacitance. C E B or C SG . e. as in storage capacitance. Thus.12 Transistor capacitances The capacitance of a transistor is a crucial consideration when designing devices for applications in the commercially and societally important areas of digital logic. Recall that this equation was derived from the Boltzmann Transport Equation. a 3×3 capacitance matrix captures all the capacitive elements of a three-terminal device. This fact is recognized here. Accordingly. high-frequency circuitry (Chapter 14). so let’s start with a general version of the equation for the continuity of charge density from (5. In fact. and leads naturally to a double-subscripted specification of capacitance in all types of transistor. as a pre-cursor to the subsequent chapters on transistors suited to these applications. note that it can also be derived from . the origin of these two capacitances is the same: in the case of the emitter/base capacitance. 12. ∂t ∂x (12. To emphasize its fundamental nature. and provides a view of capacitance that is both physically based and intuitively appealing. Our approach is based on that of Tsividis for MOSFETs [1]. The capacitances described here are used without further ado in subsequent chapters on transistors for applications in digital logic (Chapter 13). and sometimes considering just one polarity of charge. and memory (Chapter 15). for example. sometimes involving charges of opposite polarity.g.1) where J is a conduction current of positively charged carriers that have a volumetric concentration Q.22): ∂Q ∂J + = 0. for example. transistor capacitance is given a thorough treatment in this chapter of its own. and memory. The usual practice is to treat capacitance in an ad hoc manner.. V2 . Electrons are injected into the channel and start to migrate towards the drain..g. changing to a surface integral.1. integrating over some volume.1 Generic transistor. 12. there is a source current but no drain current. For example. a current issues from the drain and we have steady-state conditions. under steady-state conditions. So. if there is a decrease in charge within a certain volume. which is penetrated by three labelled leads. the charge builds up within the channel during this time. i.e.1) expresses the universal truth of the conservation of charge.. ∂ Q2 ∂ Q3 ∂ Q1 + + = 0. providing we don’t need to know anything about this device’s performance during time-frames of this order.12. After the elapse of the transit time.2) where Q j is the charge that enters or leaves the transistor through the lead attached to region j. then the steady-state condition can be applied with impunity. For a 45-nm Si MOSFET and electrons moving at vsat = 105 m s−1 .1 is a function of the potentials applied to the three leads: V1 . and using Gauss’s Law to bring in the charge density Q. enclosed by a surface s. V3 .1 Defining capacitance 211 i3 3 i1 1 Source or Emitter Channel or Base Drain or Collector surface “s” i2 2 Figure 12. the transit time is 450 fs. by applying the divergence operator to Ampere’s Law. then the removed charge must be carried to somewhere else by a current. ∂t ∂t ∂t (12.. Let’s use V1 as a reference. so the Q’s are now functions of the . along which charge can enter or leave the transistor. It is very important to understand that by invoking steady-state conditions we have not seriously limited the applicabilty of (12. For the short period it takes for the electrons to travel to the drain (the so-called transit time). e.1) demands. 12. Each of the charges relevant to Fig.e. i. Now consider what happens when the gate/source voltage is suddenly raised above the threshold voltage. Electromagnetic Theory via Maxwell’s equations. charge cannot be created nor destroyed. imagine that when an N-MOSFET is OFF there is no electronic charge at the semiconductor surface. Equation (12.2). For the generic transistor shown in Fig. As (12. voltages V21 .5) is to be interpreted as: in response to a change in voltage ∂ V31 .. the capacitances are positive. ∂ V31 ∂ V31 ∂ V31 from which capacitances are defined: C33 = C13 + C23 . This is the situation when VDS or VC E is held constant in a FET or HBT.7). as would be expected naturally. Usually.2. (12. V31 . Thus ∂ V31 ∂ Q 1 ∂ V21 ∂ Q 1 ∂ Q1 + = ∂t ∂t ∂ V21 ∂t ∂ V31 ∂ Q2 ∂ V31 ∂ Q 2 ∂ V21 ∂ Q 2 + = ∂t ∂t ∂ V21 ∂t ∂ V31 ∂ Q3 ∂ V31 ∂ Q 3 ∂ V21 ∂ Q 3 + . i. From (12. the change in charge that flows in through electrode 3 equals the negative of the changes in charge that flow in through the other two electrodes.2) and (12.212 12 Transistor capacitances i3 3 C 13 i1 1 C 23 2 i2 Figure 12.3) For a specific example.5) (12. 12. to recognize that 0 = −C13 ∂ V31 ∂ V31 ∂ V31 − C23 + C33 ∂t ∂t ∂t ≡ i1 + i2 + i3 . = ∂t ∂t ∂ V21 ∂t ∂ V31 (12. Capacitance allows this relationship to be neatly represented in an equivalent circuit. (12.6) (12. This equation can be represented by the simple equivalent circuit of Fig.3) ∂ V31 ∂ Q 1 ∂ Q2 ∂ Q3 = 0. As an illustration of this. + + ∂t ∂ V31 ∂ V31 ∂ V31 For this to be true for any ∂ V31 /∂t. consider ∂ V21 /∂t = 0. use (12.4) Equation (12. they exist only when the charge is changing.2 Equivalent-circuit represention of (12. respectively.7) where the currents are often called charging currents.6).e. we must have ∂ Q1 ∂ Q2 ∂ Q3 =− − .3) and (12. but . The prime indicates total capacitance to distinguish it from capacitance per unit area.3. which indicates that they represent total capacitances. 1 Here we’ve neglected the body of the device: including it would lead to a 4 × 4 capacitance matrix.8) only 6 of these 9 capacitances are independent. C GG ∂ VG /∂t (12. as we mention in the next section.2. there is at least one exception.1. the terminal currents can be   ∂ VS /∂t −C SG −C DG   ∂ VD /∂t  . The ′ C GDO D ′ CJD capacitors in the intrinsic case are within the dashed box.2 MOSFET capacitance 213 G ′ C GSO S ′ CJS ′ CGS ′ CGD ′ CSD ′ Cm dVGS /dt p Body Figure 12. those without represent extrinsic capacitance. All the capacitors are labelled with a prime. 12. Those capacitors within the dashed border represent intrinsic capacitance. which represents capacitance per unit area. k= j (12.2 MOSFET capacitance The principal sources of capacitance in a MOSFET are shown in Fig. and those outside represent extrinsic capacitance.3 Illustration of the principal intrinsic and extrinsic capacitance in a MOSFET. j written as1    iS C SS −C S D  i D  =  −C DS C D D iG −C G S −C G D = 3 ≡ G. 12. 12. j = 2 ≡ D. Our notation gives C jk = − C jk = + Cjj = k= j ∂Qj ∂ Vk ∂Qj ∂ Vk C jk = if k = j if k = j Ck j .1 Intrinsic MOSFET capacitances For the MOSFET. j = 1 ≡ S.8) For a proof of the last equation see Exercise 12.9) Because of (12. unlike Cox .12. . 40)).9) are necessary to specify the currents. recognize that ∂ VG S = ∂ VG D . consider C G D and C DG when the FET is operating in the saturation regime. An unusual intrinsic capacitance is C S D for a FET operating in the non-saturation regime.11) These equations are represented in the schematic of Fig. i. which means there is no change in the total channel charge Q n .12) This shows that C DG represents the effect of the gate on the drain.4.10) where use has been made of the summation expression in (12. therefore. Because Q n is intimately related to Q S and Q D . ∂t (12. it is not easy to derive expressions for the capacitances. In the case of Fig. 2 The capacitances are computed from the currents and voltages in the circuit via (12.4b clearly shows that C G D represents the effect of the drain on the gate.8). the changes in theses charges are positive too. Because of (12. which. 10.3. means there is no change in Q G . on increasing VG S . the circuit element that allows non-reciprocity to be realized in the capacitance between any two terminals. we consider the case of operation in the triode mode. one of which is Q b (x) = Q b (0). C G D ≈ 0. Changing VDS brings about essentially no change in drain current. This causes a positive charge change in the channel charge Q n . and is a connected version of the intrinsic part of Fig. the charge all along the channel Q n (x) increases negatively (see (10. Figure 12. Some of this charge is supplied by the drain.7).214 12 Transistor capacitances Note that C jk is not necessarily equal to Ck j . This is an extension of Fig. the capacitances are not necessarily reciprocal. Recognizing that VDS = (VG S − VG D ).13. leads to i D = CS D ∂ VDS ∂ VG D ∂ VG S − (C DG − C G D ) − CG D ∂t ∂t ∂t ∂ VG S ∂ VG D + CG D . C S D is negative. 12. and make the simplifying assumptions of the Level 1 model (see Section 10. After doing this.1). iG = CG S ∂t ∂t (12. Here. but it is worthwhile trying to do so in order to get a ‘feel’ for the factors that influence them. 12.7). The transcapacitance is. and using VS as the reference potential: i D = (C G D + C S D ) i G = −C G D ∂ VDS ∂t ∂ VG S ∂ VDS − C DG ∂t ∂t ∂ VG S + (C G D + C G S ) . in turn. causing Q b to increase negatively. Thus.2. Hence. For example.4c. ∂t (12. only two of the three equations in (12. Taking the drain and gate currents. An increase in VDS widens the space-charge region.2 Analytically. as can be seen from Fig. it is clear that i D = −C DG ∂ VG D . which is Kirchoff ’s Current Law. The intrinsic capacitances are computed automatically in numerical circuit simulators such as SPICE.4. in saturation..8) to expand the C j j terms. 12. and define the transcapacitance as Cm = (C DG − C G D ). . However. via the first equation in (12. and doing a bit of algebra. so ∂ Q D < 0 and C DG > 0. 12.e. 2 MOSFET capacitance 215 ig ′ CGS is S G ′ CGD ′ CSD D id ′ Cm dVGS /dt (a) ig G is S ′ CGD D id is ′ CGS S ig G D ′ Cm dVGS /dt ′ CGD id ′ CSD (b) (c) Figure 12. (c) Determination of the effect of ∂ VG S on i D . (b) Determination of the effect of ∂ VDS on i G . respectively. and recognizing that. Thus. pp. The answer is. QG + Qn + Qb = 0 . 3 (VG S − VT )2 − (VG D − VT )2 (12. turn this integral over d x into one over d VC S by using the expression for current (10.46). after eliminating I D from the equation by using (10. (a) Complete representation. In (b) and (c) only those elements of (a) that are operative under the stated conditions are shown. of the gate.12.13) (12. after some rearranging [2. we assume that the body-effect coefficient is unity.4 Schematics of intrinsic MOSFET capacitance. (12. and integrate over the range of VC S from 0 to VDS . Recall that these charges are per unit area. 242–244] QG = 2Cox Z L (VG S − VT )3 − (VG D − VT )3 − Z L Q b (0) .34).15) where Z and L are the width and length. in the intrinsic device. Now. we have Q G (x) = Cox (VG S − VT − VC S (x)) − Q b (0) . Further.14) (VG S − VT − VC S (x)) d x − Z L Q b (0) . The total gate charge is Q G = Cox Z L 0 (12.40). from (10.16) . Q n (0) ≈ Cox (VG S − VT ). which represents the effect of VS on Q G .7 0. with respect to VSG (= −VG S ) to get C G S .1 0. In the context of increasing VDS with VD held constant.2 0.4 0. and C G S → 2 Cox . 12. as saturation is approached (VDS → (VG S − VT ) in Level 1). but they do serve the useful purpose of illustrating (see Fig. consider first C G S .5 C/(Cox ZL) 0.5 Bias dependence in the triode regime (VDS < VG S − VT ) of the intrinsic gate-source . even when VG S is held constant. (12.2 0. gives CG S = CG D = 2 (VG D − VT )2 Cox Z L 1 − 3 (VG S − VT + VG D − VT )2 2 (VG S − VT )2 Cox Z L 1 − 3 (VG S − VT + VG D − VT )2 . where v is the electron velocity.4 0.0 V Differentiating this. we know from our earlier discussion that it is approximately zero in saturation. VT = 0.6 0. VS has to change negatively.3 C′ 0. is fixed.5) the following features: the capacitances are of the order of Cox Z L. C G D → 0. This means that the channel charge density at the source end of the channel. At the drain end of the channel the current is unchanged. VG S increases. and gate-drain capacitances. Regarding C G D . The drain current at the source end of the channel is given by I D = Q n (0)v(0). Thus. Figure 12. Recall that I D increases with VDS in the triode regime.17) Recall that these expressions are valid for the triode regime only (and under Level 1 conditions).216 12 Transistor capacitances 0. the capacitances are bias dependent. 3 To briefly explain the trends in the figure.5 0. This means that |Q n (L)| decreases with . which means more charge on the gate. and with respect to VS D to get C G D . C G S increases with drain/source bias.5 shows the trend towards this condition as the triode regime is traversed. .3 0. Hence. but v will be higher because of the increased field Ex .6 GD C′ GS VDS (V) Figure 12.3 V VG S = 1.1 0 0 0. such as the gate-body overlap capacitance and the junction sidewall capacitance. transistors are becoming so small that their total capacitance is of less significance than the capacitance of the connecting wires that join together the transistor logic gates. 248– 249]. 12. 12. Other extrinsic capacitances in a MOSFET. CG D O = − ∂ QG O . with this simplification. Thus the total channel charge |Q n | decreases with drain/source bias. the gate charge must decrease. as illustrated in Fig. Because we are assuming no change in the body charge Q b . where tox is the oxide thickness. However. the overlap capacitance is just that of a parallel-plate capacitor CG D O = ox AO .3.20) For the junction capacitances. and from the np-junctions between each of these regions and the body.13.18) where the subscript O refers to ‘overlap’. pp.3). ∂ VD A O EO . as indicated in Fig.12. The fact that the intrinsic capacitances are proportional to the gate length has meant that their absolute magnitudes have decreased with scaling.3 HBT capacitance The following capacitances are relevant to all bipolar junction transistors. Thus. 10.19) where A O is the area of overlap. tox (12. there is also extrinsic capacitance in a MOSFET (see Fig. in the highest performance Si CMOS circuitry. or to the voltages VB E and . E O = −VDG /tox . 12. and C G D too. From Gauss’s Law QG O = ox (12. The extrinsic capacitances described below have also diminished. so we will start by expressing some intrinsic capacitances due to changes in the base potential VB . for example.3 HBT capacitance 217 VDS . but not necessarily by as much as the intrinsic capacitances because they are not directly dependent on the gate length.2. see the treatment of bipolar transistors in the next section. In HBTs the base is the controlling electrode. but we will refer to them as ‘HBT capacitances’ because the HBT is the bipolar transistor we examine in the later chapters on digital switching and high-frequency performance.2 Extrinsic MOSFET capacitances In addition to intrinsic capacitance. For the overlap capacitance at the drain end. and we know it tends to zero when saturation via pinch-off is reached. and E O is the magnitude of the y-directed field in the overlap region. are treated elsewhere [2. This results from the overlap of the gate and the heavily doped source and drain regions. 12. If we assume that there is no field-fringing. (12. the emitter-base potential barrier is lowered. the junction capacitance can be evaluated as we did earlier for C G D O .j E C QNE QNB w QNC Figure 12. The space-charge regions at each junction are unshaded. As usual. 12. j ∂ VE B . j = s AE W .6 that holes also flow in from the base contact to effect a corresponding shortening of the depletion region on the base side of the junction. The emitter-base junction capacitance is C E B. j = − ∂ Q B. 12.b CBE. The shrinkage on the n-side.6 Concept of intrinsic capacitance in an HBT. resulting in the ‘parallel-plate’ expression C E B. so intrinsic capacitances tend to dominate in HBTs. (12. If we wish to consider the hole charge explicitly.22) Evidently. for example. 12. are shown within these regions. j refers to the total number of electrons that have entered through the emitter contact and come to reside at the edge of the junction. (12. VBC .3.t CCB.218 12 Transistor capacitances B CEB. j = − ∂ Q E. The cross-sectional areas of the emitter and the collector are usually larger than that of the gate of modern FETs.6). for example. such that ∂ VB E > 0.1 Emitter-base junction capacitance When VB changes. and the space-charge region shrinks. this junction capacitance is reciprocal. we consider only Npn transistors. (12.21) where Q E. Inasmuch as the base-emitter junction is planar. as described in the text.23) . Note from Fig. and the various capacitors representing different intrinsic processes. is due to electrons flowing in from the emitter lead and nullifying the charge of some of the ionized donors that constituted the previous depletion region (see Fig. we examine C B E.j CEB. j ∂ VB E . from which the new collector current is derived. This flow maintains charge neutrality in the quasi-neutral base. so the electron ‘storage’ in the base is. another enters the base from the emitter. in order to establish a new electron profile in the base. its significance has decreased over the years as basewidths have shrunk. A E is the area of the emitter-base junction.12. then W in (12. 2k B T (12. 3 4 If the bias change ∂ VB E is not small compared to the dc bias VB E .27) The importance of this capacitance can be appreciated by noting its strong bias dependence. As one electron exits the base to the collector. In modern HBTs W B can be as small as 30 nm. from which a larger IC (VB E ) will issue.b = −q WB AE [n(0) − n(W B )] − qW B A E n(W B ) 2 WB AE [n(0) + n(W B )] = −q 2 WB AE ∗ ∗ n E + nC . steady-state.3 HBT capacitance 219 where s is the permittivity of the semiconductor. = −q 2 (12.b . Recalling the biasdependence of n(0) (see (9. we write C B E. ∂ VE B (12. stretching across the quasi-neutral base from x = 0 to x = W B will be linear in this case. If we choose to look at this capacitance from the hole storage point of view.4 The electron profile.8).b = q 2 WB AE n op exp(VB E /Vth ) .b is the electronic charge in the base that is injected from the emitter.25) It follows that the base storage capacitance is reciprocal.b = − ∂ Q E. To evaluate C E B. let us simplify the problem by assuming that the base is so short that there is negligible recombination of electrons and holes. . and W is the depletion region width (6. and differentiating. ∂ VB E (12.26) where we have used the boundary conditions (9.17). in response to ∂ VB E . concentration gradient. we obtain C E B.2 Base storage capacitance Another important capacitance is the base storage capacitance C E B.23) should be an average value. which is much less than the minority carrier diffusion length. in fact. For example. if ∂ VB E increases the forward bias of the emitter-base junction.b = − ∂ Q B.b .3 12. Thus: Q E. electrons will enter the base to form a steeper. The charging current that sets up the steady-state concentration gradient is completed by holes flowing into the base from the base lead (see Fig. so the approximation of no recombination is satisfactory in such cases.7) and (9.24) where Q E.b . a dynamic process. However.6).2)). 12.3. across which a constant voltage VC B is maintained.3.2.7 Illustration of the change in electric field profile in the base-collector depletion region due to the passage of a charge qe .3. ∂ VE B (12. which we represent here by a depletion region of width w.28) C B E.h is not usually of significance in HBTs because injection of holes into the quasi-neutral emitter from the base is thwarted by a large potential due to the valence band offset.3 Emitter storage capacitance The corresponding emitter storage capacitance C B E.3. The field is maintained by a . and this turns out to be particularly important in estimating f T . the latter is located half-way between the edges of the depletion region. they originate in the emitter. and qe = −2q. Thus ∂ Q B. 12. We call this capacitance the base-emitter transit capacitance: C B E.h . Imagine that a uniform field Ew exists in the base-collector space-charge layer.29) ‘Base-emitter’ is used in the description. because.220 12 Transistor capacitances V CB _ + _ _ + _ −Q + q0 r 0 (a) w 0 x (b) qe _ _ V CB + + + + +Q +qw r w _ −Q + +Q Figure 12. rather than ‘base-collector’. even though the electrons are in the base/collector space-charge region. but the holes they draw in from the base are different in number.t = − ∂ Q B. a high-frequency figure-of-merit (see Chapter 14).e ≈ 0. (12. In this case Q = 3q.e = − ∂ VE B 12.4 Base-emitter transit capacitance We now discuss a rather unusual capacitance that is related to the transit of electrons across the relatively wide space-charge layer at the reverse-biased base-collector junction (active mode of operation). The electrons originate in the emitter lead. as we demonstrated in Section 9. h = gm . and building up at the edges of the depletion region. 12. vde 2 (12.t ≡ − w ∂ IC ∂ Q B. on account of the relatively large width of the space-charge region at the base-collector junction.29). Electrons. These charges. ‘Transit capacitance’ in FETs The appearance of 2vde in (12.h ≡ Using this in (12. resulting in charges +Q and −Q at the edges of the depletion region (see Fig.32) w w We need now to find the cumulative effect on q0 of having a beam of electrons stretching across the space-charge region. qe + q0 + qw = 0 . this is brought about by positive charges flowing through the external collector-base circuit.33) vde .3 HBT capacitance 221 constant voltage VC B . and assuming that the drift velocity is constant.7b). (12.36) rather than simply vde is noteworthy because it implies that the signal velocity exceeds the electron transit velocity! One way of understanding this is to note that charge appears at the collector (in the form of an image charge) . Physically. and using the IEEE convention that the collector current is positive for electrons flowing out of the device. The assumption of constant VC B .7b.12.34) Integrating this.30) This leads to expressions for the image charges: x x q0 = −qe 1 − and qw = −qe . gives the hole charge that enters the base in response to a beam of electrons in transit across the collector-base depletion region: Q B. yields q0 = x IC 1− vde w dx .35) where gm = ∂ IC /∂ VB E ≡ ∂ IC /∂(−VE B ) is the transconductance. Je = AE d x Substituting for q0 . (12. comprising a charge of qe .7a). Assuming a constant electron drift velocity vde . Clearly. q0 and qw in Fig. (12. yield (Q − q0 )x + (Q + qw )(w − x) = Qw . 12. the current density due to this moving element is qe (12. are often called image charges. ∂ V E B ∂ IC 2vde (12. which we will encounter in Chapter 14.31) (12. 12. in transit across the region alter the field (see Fig. and the application of Gauss’s Law. This capacitance is very important in high-frequency HBTs. In each distance element d x let there be qe /A E electrons per m2 . we can write C B E.36) q0 = IC w . there is no corresponding favourable imagecharge effect because the image charges appear almost entirely on the gate. 9. (12. (12. j Exercises 12. (12. In a MOSFET. because of the reverse bias.5 Consider a CMOS45 MOSFET of gate length L = 45 nm and gate width Z . the base-collector junction is reverse biased.2 In Section 12.3 Is it possible to have non-reciprocal capacitance in a two-terminal system? 12. CC B is often significant as the collector area AC is usually larger than that of the emitter (see Fig.1 there is some discussion about Csd being negative when a MOSFET is operating in the triode regime. The edges of the source and drain regions distal from the gate edge can be .3.222 12 Transistor capacitances before the electron actually reaches that electrode. and not on the drain [3].1 the following was quoted as an example of transcapacitance in MOSFETs: Cm = Cdg − C gd . of the gate electrode’s close proximity to the channel. there are no storage capacitances associated with a change in VBC .2..8) it is claimed that Cjj = k= j C jk = k= j Ck j . Thus.2.39) The inclusion of this element in an equivalent circuit allows for the differences between the effects of the gate on the drain and of the drain on the gate to be properly accounted for.38) Prove this. Does the equivalent circuit need to be modified to allow for differences between C gs and Csg ? 12. there is negligible injection of minority carriers across the junction.1). However. This is because of the two-dimensional geometry of the FET and. 12. What is Csd when the MOSFET is in saturation? 12.37) ∂ VBC w Even though the depletion region width w at this junction is greater than at the emitterbase junction. in particular.1 In (12.5 Collector-base junction capacitance In the active mode of operation. Recall that the image charges are a necessary feature of the rearrangement of the field due to the electrons in transit.e. electrons in transit along the channel also experience a field Ex from the applied drain/source voltage.4 In Section 12. CC B = − ∂ Q C. the only significant collector-base capacitance is the junction capacitance s AC = . i. Thus. 12. For the oxide.7 As.t and C E B. 12. C G D O and C J S . The source and drain doping densities are 2 × 1020 cm−3 . Plot these data in such a way that a linear relationship results.849 −0.626 −2 2. Confirm this by evaluating C E B. Which diode has the higher junction capacitance? .b for the following HBT. what must be the diode area if the capacitance is to be 100 pF at a reverse bias of 50 V? 12. N B = 5 × 1019 cm−3 .8 nm.5 3. it is Al0. Each diode has the same emitter doping density of N D = 5 × 1017 cm−3 . Case B is when the electron velocity overshoots (assumed instantly) to 2vsat in the first half of the space-charge layer.Exercises 223 taken to be 3L. take r = 15 and tox = 4. the current density in the diode is constant at 100 pA cm−2 .8 The junction capacitance of a 10−3 cm2 abrupt-junction n + p diode in reverse bias has the experimental values shown in the table below.826 −5 12.7 Vertical scaling of HBTs has led to a situation where the base-emitter transit capacitance is now larger than the base-storage capacitance. i. and then continues in the second half of the layer at vsat .008 −4 1.253 −3 2. whereas in Diode B . For reversebias voltages of greater than a few hundred millivolts. (a) What are the capacitances in each case? (b) What is the physical reason for one of the cases being better than the others.24 × 1018 cm−3 .3 Ga0.25 V The emitter in Diode A is GaAs. (b) If this diode is now used as a varactor. C (pF) V (V) 3. NC = 5 × 1016 cm−3 . and the body doping density is 3. Case C is when the electron velocity changes from vsat to 2vsat at the half-way point.10 Two np + diodes have p-type GaAs bases of doping density 1019 cm−3 . The lateral intrusion of the source and drain implants under the gate is 3. which uses GaAs for the base and collector regions. The benchmark case (case A) is when the electron velocity is constant at vsat throughout the base/collector space-charge layer.9 A p + n diode is fabricated in n-type silicon of resistivity 10 ·cm. and is operated at a forward bias of 1. having the lowest capacitance? 12. Evaluate the total capacitances Cox . W B = 30 nm.6 Consider the base-emitter transit capacitance C E B.t in an HBT. VC B = 3 V. 12.e.75 nm. from which the p-type doping density and the built-in potential can be ascertained. (a) Calculate the base-storage capacitance of this diode when the forward current is 1 mA.288 −1 2. Tsividis.G. . [3] D. 48–55. 1989. 1999. Sec. vol.. John. Oxford University Press. IEEE Trans.L. Nanotechnolgy. 9.1. Tarr. Operation and Modeling of the MOS Transistor. 2nd Edn.2. Introduction to Microelectronic Devices. 2008.L. [2] D. Pulfrey and N.224 12 Transistor capacitances References [1] Y. Prentice-Hall. 7. Limits to the Signal Delay in Ballistic Nanoscale Transistors. 1.1 13.1 have enabled the ULSI-circuitry2 that has brought electronics into the lives of so many people. more than a million devices per chip. 1963. but the latter can be easily envisaged by changing all doped regions from n-type to p-type. logic gates comprise pairs of n. emitter-coupled logic (ECL) using HBTs is a viable technology. If V is the change in voltage required to signify a change in logic level at a node of constant capacitance C. and be capable of supplying large currents to circuits of low capacitance. to explain the features of modern transistors that make them suited to high-speed logic applications.13 Transistors for high-speed logic Digital logic is a matter of charging and discharging capacitors as quickly as possible.1) where I is the average current during the switching cycle. Thus. and on the chapter on capacitance (Chapter 12).1 Si CMOS General features of CMOS In CMOS. 13. in the form of complementary MOS technology (CMOS). and nearly equal in magnitude. i. a successful digital logic technology would demand only small voltage swings. and by reversing the polarity of the applied voltages. 1 2 Invented by Frank Wanlass. . In CMOS technology. to that of the N-FET. the threshold voltage of the P-FET is usually made to be opposite.. 13. Fairchild Semiconductor.and p-type enhancement-mode MOSFETs.e. At lower levels of integration. A complementary pair of transistors is shown at the end of the CMOS processing sequence in Fig. and in applications where speed is more important than static power dissipation. The emphasis is on Si MOSFETs. I (13.1. which. We have only considered the former so far. This chapter draws on the material on the DC performance of MOSFETs (Chapter 10) and HBTs (Chapter 9). then the switching time is τ= C V . Ultra-Large-Scale-Integrated. it is considered at the end of this chapter. 65 nm.226 13 Transistors for high-speed logic 1 p-Si substrate STI oxide 4 halos Shallow Trench Isolation Source/Drain Extension & Halo Implantation 2 n-well p-well 5 Well Implantation Spacer Formation & Source/Drain Implantation silicide gate oxide 3 6 pFET nFET Gate Oxidation & Poly Definition Silicidation Figure 13. so. which roughly refer to the minimum line-width or line-spacing that can be achieved by a given CMOS technology. This pays homage to Moore’s Law. the size of the object would be halved. . Colorado. in which the co-founder of Intel observed in 1965 that the number of transistors per square inch on ICs was doubling every year [2].1 and discussed in Section 13. The CMOS industry is huge. in integrated circuits. Technology nodes have been identified. in which two of the contacts (source and drain) are so closely aligned with the third contact (gate). Technologies at 90 nm. and has its own roadmap to chart a path towards ever smaller devices [1]. each transistor has to be contacted at the top surface. Each node number is approximately 2-times smaller than the previous one. in principle. the two gates of the two transistors are connected together.7). and because of the halo regions (shown in Fig. and the threshold voltages are such that in either of the logic states (HI or LO) only one of the transistors is ON. In a sub-circuit of one P-FET and one N-FET. This was the feature that made CMOS an immediate success when it was first introduced in the 1960s. 13. no static power drain.1 Some key steps in the fabrication of Si FETs for deep sub-micron CMOS. Courtesy of Alvin Loke. Further. and 45 nm have been progressively introduced between √ 2003 and 2008. 13. if this shrinking were achieved in the two dimensions of the surface. and it is difficult to imagine a more compact arrangement than that exhibited by CMOS. Thus there is.1. Another attribute is that CMOS logic gates can be made with much fewer transistors than their ECL rival (see Fig.2). AMD. Transistor channel lengths can be considerably smaller than the technology-node number because of the lateral diffusion of the source and drain implants under the gate. i. but also the vertical dimensions. in addition to the actual emitter-coupled switching element. then new applications will appear and jobs and profits will grow. which sets a maximum limit to the bias voltages VDS and VG S . it should also be noted that the ECL gate shown does allow complementary outputs.. when the source-end of the channel is in strong inversion. as we shall see in the following sections of this chapter. the supply voltage.. The process of systematic shrinking is called scaling.48)).1. The last two attributes still apply.14. This is because L is already sufficiently small for the lateral field Ex to attain a high-enough value for velocity saturation to occur over a significant part of the channel. and the doping density.e. thereby improving packing density and reducing many of the FET’s capacitances (see Chapter 12). to ensure that the charge at the source end of the channel Q n (0) is controlled predominantly by the vertical field issuing from the gate.e. reduction of the channel length L has been more aggressive than reduction of the supply voltage VD D . but L no longer has such a strong effect on I Dsat (see (10. i.13. Over the years. from which it can be seen that the inclusion of velocity .38). The latter has a higher transistor count because. 13. However.2 Examples of 2-input NOR gates: (a) in CMOS. These changes have to be made in concert in order to preserve the long-channel operation of the transistor. (10. it has driven the highperformance end of the digital electronics industry for more than 40 years. It is becoming increasingly challenging to continue shrinking devices while simultaneously improving device performance. In fact. It is not just the lateral physical dimensions that are scaled.2 The ON-current In CMOS logic the ON-current is the drain current when VG S > VT . (b) in HBT emitter-coupled logic (ECL). 10. This is because not only did a shorter L improve I Dsat in physically long devices. The drain current increases with VDS to reach a maximum value that we have called I Dsat .1 Si CMOS 227 VDD VCC OUT A B VSS OUT VEE (a) A B Bias (b) Figure 13. level shifters and a current source are required. The effect of this is illustrated in Fig. it is the industry’s paradigm: make transistors smaller and circuits denser. it also allowed reduction of the area of the device. 1 0.1. Because CMOS employs only one power supply. as discussed in Section 13. Unwanted power dissipation also occurs during switching. Ways of increasing Cox . The SPICE models of Chapter 10 inform us that this means increasing Cox and/or the putative overdrive voltage (VG S − VT ). where 108 transistors per square centimetre is common.1. Additionally. and the implications for leakage of the channel current to the gate.10).10. as we have already discussed.3 meff = 230 cm2 (Vs)−1 meff = 460 cm2 (Vs)−1 0. Besides the expected increase in I D in the linear regime. than is predicted by the SPICE Level 1 model. so a high mobility is still desirable.3 Effect of doubling the mobility from 230 to 460 cm2 (Vs)−1 in a CMOS90 N-FET with channel length of 50 nm.4 ID (mA µm−1) 0. increasing VG S would mean increasing VDS . The alternative option for increasing the overdrive voltage is to reduce the threshold voltage. VD D . Besides increasing the velocity via µeff .4. 13.4 Figure 13.1 0. However.2 0 0 0. Other model parameters as given in Appendix C. but this would have serious consequences for the sub-threshold current. the ON-current can also be improved by increasing the channel charge.1. to attain their saturation velocity.7. are discussed in Section 13. the electrons still have to be accelerated over the source-side of the channel.3. . there is some enhancement (about 20%) in I Dsat . In circuitry as dense as in CMOS microprocessors.2 VDS (V) 0. saturation (SPICE Level 49 model) gives a much smaller increase in I Dsat . as L is reduced. otherwise the static power drain would be prohibitive (see Section 13.1. The effect of doubling the mobility in a short-channel N-FET is shown in Fig. one cannot afford to have much of a current per FET when the transistor is OFF (VG S = 0 in an N-FET). which does not limit the electron velocity to vsat . is limited by the necessity of E y . and is also discussed in Section 13.5 0.228 13 Transistors for high-speed logic 0.3 0. a low value of VD D is desirable for the many portable keeping Ex electronic products that CMOS has enabled. which. The strain is produced by stressing the channel region in a variety of ways. as we shall reveal. As the holes preferentially occupy the higher band. there are just three independent such constants.3 Channel mobility and strain Starting with the 90-nm generation of MOSFETs. .8 GPa will break high-strength steel! One way to realize such a high stress is to etch recesses in the Si where the source and drain should be. Hooke’s Law relates the deformation u of a 1-D object to the applied force F by a material-related force constant K : F = Ku . it is important that the curvature of the top band be such that the effective mass in the desired direction of conduction be reduced. for example. they are referred to as the ‘top’ and ‘bottom’ bands. their magnitudes range from 64 to 166 GPa. With a Ge mole fraction of x ≈ 30 %. we saw the importance of the spacing between atoms. In the simple 1-D model that we used in Chapter 2 to illustrate how band structure is related to crystal structure. we have normal forces and shear forces. The force required to produce a given strain is determined by the elastic stiffness constants of the material [3]. straining of the Si channel has been employed to improve the mobility of both electrons and holes. 13.3 Unfortunately.1 Si CMOS 229 13. To date (2009). the more expansive SiGe puts the Si channel under a compressive stress of the required magnitude. This is a huge pressure when one realizes that about 0. which are related to the elastic stiffness constants. One way to achieve this for a <110> channel on a {001} Si surface in a sub-100 nm device is to apply a uniaxial compressive stress of about 1 GPa to the p-type channel. such as a cube of crystalline semiconductor.2) When generalized to a 3-D object. In cubic crystals such as Si and GaAs. hole mobility enhancements of up to about four times have been achieved by using this approach. which gives an indication of the immense pressures within a crystalline solid.4). it would be advantageous if the heavy-hole band could be lowered in energy with respect to the light-hole band. Three notable 3 Recall that lowering in electron energy is equivalent to raising in hole energy. and a proliferation of subscripts because of the possibility of deformations of a given side length in a given face arising from all the possible normal. Thus. Each force per unit area is a stress and each component of deformation is a strain. and successful strain engineering exploits this to reduce the effective mass in the desired direction of conduction. For n-channel Si MOSFETs on {001} substrates. The strain affects the band structure.13. (13. Both valence bands become so warped by the strain that neither can be considered ‘heavy’ nor ‘light’. and then fill-in by epitaxially growing Si1−x Gex (see Fig. we can expect changes in band structure to result. because the strain splits the bands. if this spacing is changed by strain. the strain that results from a given stress is determined by the elastic compliance constants of the material. If we are looking for strain to improve the mobility of holes in silicon. it is not as simple as this in practice.and shear-forces.1. In Si. Conversely. Instead. a <110> tensile stress induces a shear strain that is beneficial for electron conduction in this direction. [4.e. we’ll just illustrate the beneficial effect of breaking the six-fold symmetry of the conduction bands extant in unstrained bulk material.9) is removed [6]. leading to the two [001] valleys moving to lower energies. 13. Here.COND = 0. the effective masses are changed by the strain.36).5b. For a given energy E with respect to the bottom of the conduction band in the 4 valleys.4 Partial process flow and TEM cross-sectional view of a strained-Si p-channel MOSFET using embedded SiGe for the source and drain regions. 13. consider the conductivity effective mass in (5.. which we note from t Table 2. 2. C 2004 and 2006 IEEE.. orthogonal. a value of m ∗ e. and lowering the energy of the 2 set. The electrons naturally seek the lower energy states. 2. One manifestation of this is that the prolate spheroid constant-energy surfaces of Fig. then the electron mobility in the channel is increased.5a.7.1 is considerably less than the longitudinal effective mass m l∗ . changes in the conduction-band structure occur: the six-fold symmetry of the conductionband minima is broken. the degeneracy of the two conduction-band minima at the X-point (see Fig.12 become scalene spheroids. i. i. and is made more so by quantum confinement effects in the narrow inversion layer. As mentioned above. From Thompson et al. and the two in the perpendicular direction are called the 2 valleys. In other words. The situation is obviously quite complicated. E − E C for the 2 valleys is increased. Consider Fig. which loosely represents the E-k relationship for Si near the conduction band edge E C . direction.e. but to get an idea of the enhancement in µe that might be possible.. the Brillouin zone is no longer symmetrical in directions perpendicular to the new k x -direction. The equivalent constant-energy surfaces in 3-D are shown in Fig. the conduction-band minimum moves towards the X-point as the shear strain increases.e. Based on the equal probabilities of occupation of the six equivalent conduction bands. If the in-plane effective mass in the 2 valleys remains at m ∗ .5a). 13.26m 0 was . the two transverse effective masses are no longer equal. 5]. 2. i. with reference to Fig. the bottom of the conduction band is raised for the 4 valleys and is lowered for the 2 valleys (see centre and right panels of Fig. so the spheroids become larger. The four spheroids in the horizontal plane are often called the 4 valleys. we consider the silicon channel to be in the surface plane.230 13 Transistors for high-speed logic Gate STI Si recess etch 45 nm Si1−xGex Si1−xGex Gate SiGe epitaxial growth p-type MOSFET Figure 13. so population of the 2 valleys is favoured. Here. raising the energy of the 4 set. the ‘valley’ is steeper in two of the principal directions than it is in the other. reproduced with permission. The tensile strain breaks the six-fold degeneracy of these valleys. This stress liner conforms to the FET as shown in Fig.13. A further bonus is that. depending on the deposition conditions.1 Si CMOS 231 Energy E EC E-k2. Left: all six valleys have the same E-k relationship. can be imbued with either a tensile or a compressive stress.e. which is deposited by plasma-enhanced CVD4 over the completed FET.19m 0 . If all the electrons could now locate in the 2 valleys.COND = m t = 0.5 (a) Energy-wavevector relations for a material with an effective mass in one direction that is different from the effective mass in the two orthogonal directions. -k3 E-k1 Unstrained ∆ 4 valleys raised (a) ∆ 2 valleys lowered k plane of ∆ 4 valleys (b) Figure 13. an improvement in mobility of about 37%. i. and. Centre: the 4 valleys (see (b) below) are raised in energy. . Thus. For N-FETs a tensile liner is 4 Chemical Vapour Deposition. Right: the 2 valleys are lowered in energy. then we would have ∗ m∗ e. estimated. (b) The constant-energy surfaces for the energy (E − E C ) indicated in (a). whereas electron scattering is equally probable between all six valleys in unstrained silicon. One stressor is a thin layer of silicon nitride. now an energy change is needed to scatter from a 2 valley to a 4 valley. the in-plane electron mobility may be further increased.. the in-plane spheroids are reduced and the out-of-plane spheroids are enlarged. Strain engineering to improve Si MOSFET performance is a very active area of research and development.6. With respect to the unstrained case (shaded spheroids). 13. 4 Oxide capacitance and high-k dielectrics Increasing Cox puts more charge in the channel for a given VG S and. By the 90-nm technology node the oxide thickness had been reduced to ≈2 nm. C 2004 and 2006 IEEE. it is not already so. there is the problem of increased leakage to the gate of drain-intended current. the interface between the two materials is extremely well understood. and techniques . reproduced with permission. increases I D . The influence of the tensile stress in an N-FET on the compressive stress of a neighbouring P-FET. In fact. Traditionally. as discussed in Section 13. leaving little room for further shrinking as a means of increasing Cox . From Thompson et al. Apart from the difficulties involved in producing thinner films to acceptable standards of integrity and uniformity. for example. at the 45-nm node. This means that this method of stressing works well if the channel is short. indeed. the source and drain areas may be insufficient to ‘anchor’ the stress liners.232 13 Transistors for high-speed logic Tensile Nitride Gate STI NMOS Compressive Nitride Gate PMOS High stress film n-type MOSFET Figure 13. The contact area in the former regions is much greater than the channel area. if. Further. An alternative stressor would then be needed. It is amazing that such large and different stresses can be applied to such tiny structures that are in such close proximity. the gate oxide has been SiO2 : being silicon’s native oxide it is obviously compatible with the semiconductor. used. [4. consequently. so any strain relaxation that takes place occurs mainly outside of the channel. is likely to become a necessary consideration in layout design.1.6 Dual stress liner process architecture with tensile and compressive silicon nitride layers deposited by plasma-enhanced CVD. but it also means that it is not easily scaleable. Creating a trench and filling it with a material that would be compressed by the surrounding silicon is one possibility under investigation at present. 5].6.1. and its adhesion to the source and drain allows its inherent tensile stress to stretch the channel. 13. a higher permittivity dielectric would be helpful.1. . undoubtedly. in the 1960s. clearly. In earlier generations of CMOS this was not a particularly important issue. but it should be appreciated that the actual replacement of the traditional. perhaps after 5 The ‘k’ in high-k refers to the symbol often used for dielectric constant. but. others will follow.5 Metal gates and poly-silicon capacitance The industry is moving towards a new gate stack. which is an earlier term for relative permittivity. 13.9. However.5.4) In other words. the return of the industry to metal gates. However. At the time of writing (2009). during thermal treatments later in the device’s processing. ψs . but. but the dielectric is thickened by the addition of an oxide derived from hafnium. and probably including some nitrogen. (13. With respect to an oxide of pure silica. Originally. nitrogen has been incorporated in the oxide to raise the relative permittivity to 4–5.7). This represents another very significant change for the silicon-processing industry. thus. The Si/SiO2 interface is preserved. but now. Section 6. prevented use of the metal gate as a mask to facilitate the self-alignment of the source and drain regions to the gate.3) The case for high-k dielectrics is easily stated. but this metal’s penetration into the underlying silicon dioxide. which determines the charge in the channel.13]. Hence. having a semiconductor for the gate means that there is some depletion at the gate/oxide surface (see Fig. silica dielectric has posed considerable difficulties for the industry. This is accomplished by choosing the new dielectric’s thickness such that thigh−k < tsilica high−k silica . (13.1. only Intel of the big chip manufacturers has included a new gate-dielectric at the 45-nm technology node. experiences less of VG B than it would otherwise.6).1 Si CMOS 233 have been developed to effectively passivate the interface [7. Incorporation of a higher-k dielectric5 into the CMOS process is another very active area of research and development. a drawback to SiO2 is its rather low relative permittivity of 3. this allows a given oxide capacitance per unit area to be achieved with a thicker oxide. thereby offering the possibility of reducing the gate leakage current (see Section 13. or be deposited later in the fabrication process. 13. when material-modification is being increasingly addressed as a means of maintaining the momentum in device-performance enhancement. which will need to be less reactive than aluminium. However. the ON-current would be more directly improved by the new dielectric if Cox was actually made higher than before. Polycrystalline silicon overcame this problem. Over the years. tried-and-tested. as highly doped polycrystalline silicon gates have been used in CMOS for decades.13. gates were made from aluminium. (10. it needs to be dealt with. in which a metallic gate electrode is used with the high-k dielectric.14) is modified to VG B − V f b = ψpoly + ψox + ψs . This results in an additional potential drop in the device. The overall relative permittivity is ≈15–20. as discussed in Section 13. hence its name of leakage current. which is of the form Jtunn = E q n 2D (E)T (E) d E .7 Band-bending in the polysilicon gate. the use of a sacrificial polysilicon gate to allow self-alignment of the source and drain. The opportunity of being able to vary VT across a chip would be welcomed by IC designers. and the extra capacitance it causes.6 Gate leakage current The steady reduction in oxide thickness as part of the scaling process has led to an increase in the flow of electrons from the channel (and from the overlapped part of the drain) in an N-FET to the gate. and T (E) is the tunnelling transmission probability.63).3.7. as shown in Fig. Co-deposition of metals such as these opens up the possibility of achieving a range of work functions. If the tunnelling barrier is approximated as being rectangular in shape. The transport mechanism of tunnelling is considered in detail in Section 5. Here we consider the former case.8. Nickel and titanium are two possible metals. 5.5) where n 2D (E) is the electron density of the 2-D sheet of electrons at the oxide/semiconductor interface. This expression contains.1. then there is an analytical expression for T (E) (see (5. h (13.54)). for which the tunnel current density is given by (5. as we have considered thus far. Expressions for the tunnel current are derived for the cases where the electrons in the channel form a ‘classical’ 2-D sheet. 13. with which threshold-voltage control could be achieved via variation of V f b .1. in . This tunnelling current detracts from the drain current.234 13 Transistors for high-speed logic OXIDE Electron energy BODY EC poly GATE EFG EFB E V Cpoly Cox Cs y Figure 13.8. and where the electrons are confined to quasi-bound states. 7 Threshold voltage: the short-channel effect From the equations developed in Section 10. 0. is T ≈ exp − 2tox 2m ∗ (E C. then.91.1. ox (13. taking m at its optimum . It must be noted that our calculation is very sensitive to the values used for the effective masses. for low tunnelling. is somewhere between these limits. materials. and 0. These figures examine the effects on both the ON.10.6) where E C (0) ≡ U1 is shown in Fig. . hyperbolic functions that involve the thickness of the tunnelling barrier tox . the 6 7 A homogeneous hafnia dielectric would have a relative permittivity of about 5 times that of silica. For example. ox E C.8) Thus.e.4. but which can severely affect VT if they are not dealt with. It is clear from the figure that a 2–3 order-of-magnitude reduction in tunnel current can be achieved simultaneously with a 50% increase in Cox .3 for the effective masses m ∗ /m 0 of Si.6 Figure 13. 13. and of the length L of the channel. Let us use χ as a variable and determine the reduction in tunnel current commensurate with a given increase in Cox .8 shows the ratio of tunnel current in the high-k case to that in the silica case as a function of χ for the high-k dielectric. the oxide must have a low electron affinity. and it brings us to a discussion of factors not previously introduced in Chapter 10.5 for the sub-threshold current. which.9 and Fig.and OFF-currents of the depth y j of the source and drain regions.75 nm. if an OFF/ONset current ratio of 10−4 is required. For silica. if we take I D (ONset) to be the current at the onset of the ON state. it is clear that there is a limit to how low VT can be reduced in practice. and in Section 10.24 V Therefore.1 Si CMOS 235 its denominator.5 for the ON-current. and the χ ’s are electron affinities. the issue of threshold-voltage control is critical. These dependencies are captured in (5.9 eV It’s reasonable to assume that the electron affinity of present ‘high-k’ oxides . but also on its height. HfO2 and SiO2 .ox − E C (0) = χ Si − χox . χ = 0. then the OFF/ONset current ratio is −VT I D (OFF) . value of unity indicates that VT must not be less than about 0. To bring y j into the calculations. The latter demands that. i. An illustration of this is seen in Fig. respectively. (13. the electron effective mass in the oxide m ∗ . and non-crystalline. we used 0.8 eV 7 . One might even question the use of the effective-mass concept when considering transport through such thin. For the baseline Cox we assume an oxide of silica with tox = 1. χ ≈ 2.1.9 eV whereas for hafnia . using the notation of (13. 5. We also assume a relative permittivity for the high-k dielectric of four times that of silica. 13. which are not well-known.ox − E) . = exp I D (ONset) mVth (13.8.13. when VG S = VT .56). and we take I D (OFF) as the current in the fully OFF state when VG S = 0.7) The above equations highlight the fact that tunnelling depends not only on the thickness of the barrier.. 13. and the height of the potential barrier. provided the electron affinity of the high-k dielectric does not exceed about 1. Here.6). if VDS is increased beyond the value at which pinch-off occurs. the sub-threshold current increases dramatically as the depth of the source and drain regions is increased. The parameter values are as given in the . The top curve is for an improvement in Cox of 100%.4. The high-k dielectric is taken to have a relative permittivity that is four times that of silica. Turning now to the drain characteristic. or as 8 In a long-channel device.Si − E F ) was taken to be 50 meV full set of equations (5.27). The effect is indicative of a reduction in the threshold voltage.8 Ratio of tunnel current in a high-k dielectric to that in silica as a function of the electron affinity of the high-k dielectric.10 is indicative of VT being influenced by VDS . 13.8 Current saturation in FETs with sub-micron channel lengths is more due to velocity saturation than to pinch-off (see Section 10.24) in 2-D was solved numerically. The above observations regarding the gate and drain characteristics can be quantified by a reduction in the threshold voltage as either the junction depth is increased. 5. (E C.236 13 Transistors for high-speed logic 10 4 10 2 100% increase in Cox Ihigh-k /Isilica 10 0 10 −2 50% increase in Cox 10 −4 0. Compare now the two curves at y j = 30 nm. the pinch-off point moves further away from the drain as the depletion region around the drain thickens. Consider first the gate characteristic: the bottom three curves show that. caption to Fig.5 cox (eV) 2 2.8. It becomes apparent over the entire range of the drain characteristic when L is reduced to ≈50 nm. and observe that shrinking L from 100 to 50 nm also effectively reduces the threshold voltage.5 1 1. This has the effect of shortening the remaining portion of the channel that is still in strong inversion. for a given channel length.5). note that the effect of increasing y j is to enhance the drain ON-current as VDS is increased.5 3 Figure 13. which occurs in longer devices after VDS is increased beyond the ‘pinch-off ’ value. unless otherwise stated. The solution for the case of y j ≡ 0 was obtained from the PSP model (10. and the bottom curve is for an improvement of 50%. This effect is not to be confused with channel-length modulation. . The phenomenon seen in Fig. 2 VGS (V) 0. Eventually. This particular aspect of the short-channel effect is often called charge sharing. and possibly Ex (x ). The drainrelated space-charge at some point x increases the surface potential at x . The p-type substrate provides suitable sites.3 0. the short-channel effect is an electrostatic effect.. This phenomenon of an increase in drain current due to factors not included in the long-channel model is known as the short-channel effect. and must terminate on negative charges somewhere in the transistor. leading to a space-charge region extending out from the drain.27) and the other curves are from (5. . The name comes from the fact that the total space charge (depletion) at some point x is now determined by both the gate and drain potentials. This aspect of the short-channel effect is called drain-induced barrier lowering (DIBL). Field lines emanate from the positively biased drain.24). This region is wider than the space-charge region around the source because of the greater reverse bias at the drain/body np-junction. the length of an already short channel is decreased. ψs (0) is affected by VDS .4 0.1 0. A given ψs (x ) can now be achieved with a lower VG S than would be needed if there were no depletion due to VDS . Both effects lead to an increase in I D . i. Basically. this increases |Q n (x )|. using the Drift-Diffusion Approximation implemented in MEDICI. Unless otherwise stated the parameters are as listed in Appendix C for a CMOS90 N-FET. it controls the electron flow into the channel. The effect on I D is direct because ψs (0) sets the height of the potential barrier at the source/channel junction. As L decreases. the space-charge region from the drain progressively encroaches on the source.13.9 Gate characteristics for various combinations of channel length L/source-drain junction depth y j (both in nm).e. The bottom curve (solid line) is from the PSP model (10.1 Si CMOS 237 10 −3 10 −4 10 −5 10 −6 10 −7 10−8 10 −9 10 −10 10 −11 100/30 100/0 100/50 50/30 I D (A µm−1) 0 0.5 Figure 13. The present industry solution is to use a nonuniform doping profile N A (x. as illustrated in Fig. For this reason. L . the most obvious way to reduce | VT | is to reduce the size of the drainsource capacitor. 13. As the sidewall of the np-junction defines one of the lengths of this ‘plate’ of the capacitor. 13. In fact.9. Therefore.238 13 Transistors for high-speed logic × 10 −4 5 50/30 4 I D (A µm−1) 100/50 100/30 3 100/0 2 1 0 0 0.9) The electrostatic interaction between the drain and the channel via the depletion region in the body can be viewed as a capacitive phenomenon. The simulation results of Fig.1. only the part of the source and drain closest to the channel is thinned.and drain-extensions are evident in Fig. However.6 0.2 0. going from about 40 nm at the 130-nm node.11. As noted earlier in this subsection. to about 15 nm at the 45-nm node. The doping is increased in the y-direction: the desired high doping is achieved deeper into the substrate using fast-diffusing dopants such as . One drawback to reducing y j is that the lateral access resistance to the channel from the source and drain contacts is increased. y). a high doping density at the semiconductor surface would lead to an unacceptably high VT . the effects of charge-sharing and DIBL can be captured by defining an effective threshold voltage VT. 13.9 and Fig. VDS ) .4 VGS (V) 0.eff = VT + VT (y j . These shallow source.8 1 Figure 13. 13. 13. (13. Another way of reducing the influence of the drain on ψs (0) would be to shield the source from the field issuing from the drain.10 Drain characteristics for the same combinations of parameters given in Fig. then it would be helpful to reduce y j . y j has been shrinking over the years. This could be done by raising N A throughout the body.10 confirm this. 1. the added feature here is that this restriction creates a ‘potential well’. For high substrate doping densities. at least down to the 45-nm node.13. AMD. as described in detail in Section 11. 13. Another name for these highly doped regions is pocket implants. Of course. thereby giving rise to the short-channel effect.1 Si CMOS 239 G Oxide S n + Source n + Drain D p Body B Figure 13. The three features of y j reduction. The situation is illustrated in Fig. They must escape if there is to be a drain current. rather than just ‘bound’. and. As and P. .1 and is called halo doping. the potential profile in the y-direction of the body becomes steep enough for the electrons to be restricted to a very shallow region at the oxide/semiconductor surface. The feature is shown in Fig. whereas doping of the sensitive surface region is accomplished by more lightly doping using slower diffusants such as In and Sb. 13. 9 The states are properly termed ‘quasi-bound’. we recognized this before when we invoked the channel-sheet approximation.8 Threshold voltage: a quantum-mechanical effect Recall that one of the trends in scaling has been to increase the doping density in the body to prevent VT from getting too small due to reductions in tox . In the x-direction. The resulting doping profile is called retrograde. because the electrons in them are not completely confined.9 so VT is raised slightly.12. the conduction band splits up into subbands. N A is increased only close to the source and drain junctions. retrograde doping and pocket implants.11 Illustrating how the drain-source capacitance abets the gate-source capacitance in determining the potential ψs at the source. of which a ‘wrap-around’ gate would be the ultimate solution (see Chapter 18).3. however. B. as there is no longer a continuum of energy levels near the quasi-Fermi level. it takes more energy to populate the quasi-bound states. Adapted from a figure kindly supplied by Alvin Loke. 13. Future schemes may involve multiple gates. The sub-bands are at higher energies than E C . If the sides of this potential well are high enough.1. have proved sufficient to control the short-channel effect. Here. This process is known as ‘Smart Cut’ [7. Presently.8.2.12 Illustration of how the fact that the centroid of charge in the first conduction sub-band E 1 is distal from the interface leads to an increase in the effective oxide thickness. 13.e. The magnitude of the effect is examined in Exercise 13. 11. 146]. and the CMOS FETs are then defined in the overlying surface layer of silicon. p. Thus.13. the oxygen implant disturbs the crystallinity of the Si surface layer. Understandably. i. 13. we briefly mention the silicon-on-insulator (SOI) FET. a layer of silicon oxide is implanted into the silcon wafer.13.10 In the crosssection shown in the top part of Fig.9 Silicon-on Insulator FET The Si MOSFET that we have discussed so far is a bulk-CMOS FET. distal from the actual semiconductor surface. a more widely used way of forming an SOI structure is to rely on van der Waals forces to bond one Si wafer to the oxide-coated surface of another Si wafer (wafer B). 10 Both AMD and IBM use SOI in some of their high-performance offerings. which has some interesting attributes. This increase in separation between the channel charge and the gate electrode can be viewed as an increase in oxide thickness.. and is also relatively expensive.240 13 Transistors for high-speed logic OXIDE Electron energy BODY E2 EC EFB EV metal GATE EFG E1 extra ‘tox’ Cox Cs y Figure 13. the centroid of charge is near the middle of the well. An appreciation of the effect can be gained by considering the charge distribution in the first sub-band.1. 13. and it is costly to recover the perfection of this critical region. Cox is reduced and VT is raised. it is by far the dominant transistor in high-performance CMOS circuitry. . As shown in Fig. and then to reduce the thickness of wafer B to end up with a structure similar to that shown in Fig. The source and drain regions reach through to the buried oxide.5e-08 2e-08 2. Specifically.e.1 Si CMOS 241 STI buried oxide substrate STI 0 0 5e-09 1e-08 1.13. so there is no ‘floor’ component of parasitic capacitance at the source/body and drain/body np-junctions. one example of which is the FINFET [8]. 13. Thus. The thin oxide/substrate combination acts like a ‘bottom’ gate. would result if the silicon body layer could be made sufficiently thin for it to be fully depleted. The top figure is courtesy of Alvin Loke. obtaining such a thin semiconducting layer with reproducible and . An additional speed improvement is possible from the dynamic threshold-voltage effect.517 1 Figure 13.13 Silicon-on-insulator (SOI) CMOS. The top part is a cross-section of a thin-body device. The bottom part shows the field lines from a 2-D solution to Laplace’s Equation for an arrangement similar to that of the N-FET.25e-08 4. the potential ψs (0) at the source end of the channel is screened from the applied drain potential VD . UBC. A truly ‘three-terminal’ FET. with 2-nm oxides and gates on the top and on the bottom. and increasing the current. making the SOI FET a precursor of the anticipated multiple gate FET. However. AMD. Under these circumstances. momentarily augmenting the forward bias across the sourcechannel junction. as shown in the bottom part of Fig.13. Another useful property can result if both the upper silicon layer and the buried oxide are thin. the potential VB of the floating body also rises.. the simulation is for a 90-nm channel in a 16-nm Si body. i.5e-08 6. and the short-channel effect is reduced. one with no body-effects to be concerned with. the field issuing from the drain preferentially penetrates the two thin oxides and terminates on the gate and the substrate. When the FET is turned on by a positively increasing VG S . and the bottom figure is courtesy of Daryl Van Vorst. and if the underlying substrate is heavily doped.75e-08 9e-08 v 0 0. which is a consequence of the body of the transistor being isolated from the substrate. 242 13 Transistors for high-speed logic G 1 Oxide S (a) p Body B n+ Source 2 3 4 1 n+ Drain D Electron energy OXIDE (b) E FG metal GATE DRAIN EC E Fn EV y Figure 13.14 Leakage and off currents: (a) 1. oxide tunnelling; 2. sub-threshold conduction; 3. gate-induced drain leakage; 4. drift of minority carriers across the reverse-biased drain/body junction. (b) Detail of gate-induced drain leakage GIDL. useful properties is proving difficult. In the meantime, the insulating layer of partially depleted SOI FETs isolates devices from each other, which is good for RF circuitry. It can also help de-couple noisy logic blocks from sensitive analogue circuitry, which is good in mixed-signal applications. 13.1.10 Power dissipation The various DC leakage and sub-threshold currents in an N-FET are sketched in Fig. 13.14. When the device is ON, a high drain current is required and, ideally, this will be the current supplied by the source, i.e., the current drawn from the power supply. If the latter is more than I D , it is indicative of the presence of current leakage paths in the transistor. Leakage to the gate via tunnelling is one such path (see Section 13.1.6). 13.1 Si CMOS 243 One way to mitigate this effect is to use thin oxides only where they are absolutely necessary, e.g., for the transistors in the core of a microprocessor. For other transistors, such as those in the input/output parts of the chip, a thicker oxide is used. Other possibilities for leakage are via the substrate. One such component is the usual current in a reverse-biased np-junction, while another is referred to as gate-induced drain leakage (GIDL). The latter is due to band-to-band tunnelling in the depletion region where the gate overlaps the drain (see Fig. 13.14b). The mechanism is that of Zener breakdown, as occurs in np diodes with heavy doping on either side of the junction. GIDL has assumed importance because of the very high fields arising from the heavy doping of the pocket implant. As VG B increases, the bands become more bent, and the leakage current increases. The above leakage currents exist when the transistor is ON, i.e., when VG S > VT . Current can also be drawn from the power supply when the transistor is OFF, i.e., when VG S < VT , but VDS > 0. Such currents are the junction leakage current, and the sub-threshold current due to injection from the source. The latter is non-zero because of the influence of the field from the drain on ψs (0), as discussed above. As we have mentioned before, it is necessary in highly integrated circuitry to make the OFF/ON ratio as small as possible. Ideally, switching between OFF and ON would be marked by a vertical transition in the I D − VG S plane. In fact, the transition has a finite slope (see Fig. 13.9). The usual metric for this transition is the inverse sub-threshold slope: it is the change in VG S needed to reduce I D by a factor of 10. From (10.55) S= ∂ log10 I D ∂ VG S −1 ≡ 2.303m Vth . (13.10) Evidently, in this type of transistor, the minimum value of S is about 60 mV/decade. In practical MOSFETs, values are slightly higher than this (closer to 100 mV/decade) because m is greater than its ideal value of unity. Recall that m(0) = 1 + Cb (0)/Cox , so any increase in the ionic space charge in the substrate under the source-end of the channel will raise Cb (0) and, consequently, increase m. This is why Fig. 13.9 shows a significant degradation (increase) in S when L is reduced. It is further evidence of the need to control the short-channel effect. Dynamic power dissipation A basic CMOS switch is shown in Fig. 13.15a: it comprises a power supply, an inverter, and two capacitors, C + and C − , which, together, constitute the total capacitance C X at the output node. C X represents the intrinsic and extrinsic capacitances of the transistors in both the logic gate and in the element that it drives, and, also, the interconnect capacitance. C X is charged through the P-FET and discharged through the N-FET.11 The switching events over several clock periods are shown in Fig. 13.15b. During discharge 11 For these processes to occur over similar time periods it helps if the two transistors have similar saturation currents, e.g., using LEVEL 1 equations ((10.34)), |VT | and the ratio Z µ/L should be similar for each transistor. 244 13 Transistors for high-speed logic v iPS C+ IN OUT VPS C− + iPS OUT IN time T (a) (b) Figure 13.15 Switching in a simple CMOS logic gate. (a) Equivalent circuit. (b) Voltage and current waveforms. of the capacitor C − , v I N is HI and the P-FET is OFF. The output goes LO and C + charges up. The current drawn from the power supply during this event is iPS = C+ ∂ (VD D − v OU T ) . ∂t (13.11) The average power dissipated during this half-period T /2 is Pav = 1 T /2 T /2 0 VD D 0 2 = f C + VD D , (VD D − v OU T )i P S dt = 2f (VD D − v OU T )C + d(VD D − v OU T ) (13.12) where f is the clock frequency. Over the next half-period, C + is discharged and C − is charged, thus, over one clock period, the average power dissipated per gate is 2 2 Pav = f VD D (C + + C − ) = f VD D C X . (13.13) This equation highlights the importance of reducing the power-supply voltage as clock frequencies increase. Static power dissipation Recall that when a MOSFET is supposedly OFF (VG S = 0), there can be a sub-threshold current if VDS > 0. From the description of sub-threshold current in Section 10.5, it follows that the static power dissipation per inverter is P(static) ≈ VDD ID,sub-threshold . (13.14) In a CMOS technology capable of placing 108 transistors per cm2 , it is easy to appreciate how minuscule static power dissipation in a single transistor can become a major concern 13.1 Si CMOS 245 G ′ CGSO IGS,tun RS S ′ CJS ′ CGS RG ′ CGD ′ CSD ′ CGDO IGD,tun RD ′ CJD D ′ C GB ′ Cm dVGS /dt ID,ON ID,subt B Figure 13.16 Large-signal equivalent circuit for the MOSFET. at the chip level. The continued control of it via suppression of the short-channel effect, principally DIBL, is vital for the progression to future technology nodes. 13.1.11 Large-signal equivalent-circuit model To capture the effect of switching, the parasitic resistances and the intrinsic and extrinsic capacitances of the MOSFET must be added to the DC equivalent circuit of Fig. 10.16. The source and drain resistances arise mainly from the lateral path between the contact metallization and the channel. Obviously, reducing the junction depth y j to combat the short-channel effect is not helpful in reducing R S and R D . In practice, the source and drain regions are thickened after the obligatory narrow region near to the channel (see Fig. 13.1). The gate resistance shown in the equivalent circuit of Fig. 13.16 is that of the gate metallization. The full suite of intrinsic and extrinsic capacitances described in Section 12.2 are represented by appropriate capacitors. Also shown is the gate/body intrinsic capacitance, which serves to remind us that we neglected body-related capacitances in Chapter 12.12 Finally, the single current source of the DC circuit in Fig. 10.16 has been expanded into sources representing the ON current, the sub-threshold current, and the tunnelling currents to the gate. 12 Note that because of the inexorable scaling of the transistor, its capacitances can now be smaller than the capacitances of the wiring that connects devices together. To reduce this latter capacitance, low-k dielectric materials are used between the wiring levels of modern, high-performance ICs. 246 13 Transistors for high-speed logic 13.2 Emitter-coupled logic For reasons given elsewhere in this chapter, CMOS is unrivalled when it comes to ultra-dense digital circuitry. However, if the issue is one of raw speed only, then emitter-coupled logic (ECL), fashioned from high-performance HBTs, can outperform CMOS. The price paid for this speed advantage is a higher transistor count per gate (see Fig. 13.2b), and a higher static power drain. Whereas the ECL NOR gate of Fig. 13.2b has the same number (4) of switching transistors as the comparable CMOS gate shown in Fig. 13.2a, it requires additional circuitry to provide the current bias, and to shift the level of the output signal so that it is appropriate for driving other gates. The current-bias circuitry provides a constant current that is switched between the main, emitter-coupled transistors of the gate, depending on which of these transistors is ON (according to the logic levels of the input signals). Because in HBTs IC increases exponentially with input bias, it doesn’t take much change in VB E to switch a large current.13 Further, because charge flow in an HBT is not constricted by a narrow channel, the current can be large. Thus, a given charge can be sourced or sunk in a very short time. As the current is always present in one branch or another of the circuit, the static power drain is large. The speed advantage of ECL can only be realized if the transistors are biased in the active region when they are ON, and not in the saturation regime. To illustrate the drawback of switching from the saturation regime in HBT digital circuits, consider the example of a simple inverter using resistor-transistor logic (RTL), as shown in Fig. 13.17. It can be seen that IC at the HI bias point does not increase with I B , but is limited by the voltage drop across the load resistor R L . Thus, ‘saturation’ in an HBT is a circuit phenomenon, and does not refer to the constant-current portion of the actual transistor characteristic, which is the active regime. In saturation, both junctions are forward biased, so there is a large concentration of excess electrons in the base.14 Turning the logic gate OFF is initiated by switching the input voltage VB E to zero (see Fig. 13.18a). The excess carriers now start to flow out of the base, and there is some net recombination now that the supply of minority carriers from the emitter and collector has been turned off. The minority carrier concentrations at the edges of the quasi-neutral base depend on the actual applied-voltage drop across the junctions (see (6.29)). Put another way, Va j depends logarithmically on the carrier concentrations. Thus, as these carriers exit the base, the actual junction biases change only slowly. Therefore, the collector current maintains its value. The base current is also approximately constant, but at a negative value determined by Va j,B E /R B , where R B is the resistance in the base lead (see Fig. 13.19). Only when the excess electron concentration at the collector edge of the base quasi-neutral region has been reduced to zero (at time t3 in Fig. 13.18b) does i C start to fall towards its cut-off value, which characterizes the OFF, or logic-LO, 13 14 As discussed in Section 10.5, V = 0.24 V will cause a 10 000-fold change in current. Recall from Chapter 6 that the base remains quasi-neutral, so there are also many excess holes present in the base in the saturation mode. 13.2 Emitter-coupled logic 247 VCC RL IC IB IC VCE Saturation VCC RL Active IB Cutoff 0 Load line, slope = – 1 RL VCC VCE Figure 13.17 Determination of the operating point, using a simple RTL inverter as an example (see inset), by the superposition of the transistor’s collector-current characteristic and the resistor’s load line. From Pulfrey and Tarr [9]. state.15 At this time, i B finally inclines towards the zero value that is to be expected when VB E = 0. In the sequence of excess-electron profiles shown in Fig. 13.18b, the negative slope near the emitter edge is indicative of the diffusive outflow to the emitter lead. Also shown is the initial excess electron profile for a logic HI state in the active regime. As the excess electron concentration at W B is already zero, because of the reverse-biased base/collector junction, i C starts to decay immediately after VB E is switched to zero. Thus, the delay when switching from the saturation state can be viewed as the time taken to remove the excess charge shown by the shaded region in Fig. 13.18b. 13.2.1 Large-signal equivalent-circuit model The large-signal equivalent-circuit model for an HBT is shown in Fig. 13.19. Capacitors representing all the various capacitances discussed in Section 12.3 have been added to the dc equivalent circuit of Fig. 9.9. Diodes representing the back-injection and 15 The symbol i C is used to indicate a time-dependent collector current. IC is a dc current. 248 13 Transistors for high-speed logic n − n 0B v, i 0 0 vBE iB t=2 iC 0 01 2 3 (a) t 0 0 (b) WB t=3 x t=1 t=0 Figure 13.18 Switching out of the saturation mode. (a) Voltage and current waveforms. (b) Evolution of the excess electron profile in the base during switching. The profile for biasing in the active mode of operation is also shown (stippled line). IC CCB B IB RB CEB IB,inj (VBE ) IB,rec (VBE ) IE RE E IB,inj (VBC) IB,rec (VBC ) RC C IS (eVBE / Vth − eVBC /Vth) Figure 13.19 Large-signal equivalent circuit of the HBT. The collector current source is from Fig. 9.9. base-recombination components of the base current are also shown. By providing a set of diodes for each junction, the circuit can be used for all modes of operation of the HBT. Exercises 13.1 Tensile strain can improve the electron mobility in Si N-FETs by lowering the energy of the 2 valleys with respect to the 4 valleys (see Fig. 13.5). Oxide P and Oxide Q. Which material would make the more practical dielectric. In Si CMOS technology the gate oxide has been silica ( r = 3. 13. are being considered as replacement gate oxides to double Cox . rectangular potential barrier of thickness d and height U2 the tunnelling transmission probability can be approximated by (5. Assume that this situation applies to tunnelling through the oxide in a silicon-gate Si MOSFET. for the two insulators considered in the previous question at VG B = 1 V 13. What thickness of hafnia is needed for T (E = 0. with VB E slightly greater than VBC . respectively. and the electron affinity to be χ = 2.377 eV above the conduction-band edge.9.9 eV) but the change is being made to a hafnium-silicon oxynitride. Cox can be taken as 2 × 109 0 F m−2 . estimate the percentage improvement in electron mobility with respect to the unstrained case. (a) Estimate the percentage change in effective oxide capacitance due to consideration of this electron confinement. . whereas the corresponding values for Oxide Q are 4 eV and 16.2 eV) to be equal to that for silica of thickness 2 nm? 13.6 A microprocessor made from CMOS65 FETs operates at a clock frequency of 3 GHz.3 In a particular CMOS technology. All the electrons can be taken as residing in the first energy sub-band (see Fig. (b) Estimate the change in threshold voltage due to this quantum-mechanical effect. The electron effective mass can be taken as m 0 .Exercises 249 If a particular stress results in 80% of the electrons residing in the 2 valleys.2 For a CMOS65 N-FET the band bending in the semiconductor is steep enough that the potential-energy profile near the silicon/oxide interface can be approximated as a rectangular potential well of infinite height. and why? 13.9 and electron affinity χ = 0. (a) Sketch the electron concentration profile in the quasi-neutral base. and the electron affinity of the semiconductor Si can be taken as 4 eV Two dielectrics. but that is much greater than any changes in depletion-region widths that may occur on switching. The transistor is biased in the saturation regime.5 Compute the gate leakage current due to tunnelling from a continuum of states . 13.15) where the electron effective mass m ∗ is assumed to be the same in all regions of the structure.12).7 An Npn GaAs-based HBT has a quasi-neutral basewidth that is much shorter than the minority-carrier diffusion length.9 eV . .4 For a symmetrical. What percentage of transistors on the chip would need to be switching simultaneously if the static power dissipation were to equal the dynamic power dissipation? 13. The electron affinity and relative permittivity for Oxide P are 1 eV and 8.56) T ≈ exp − 2d 2m ∗ (U2 − E) . for which we guess the relative permittivity to be r ≈ 4 × 3. which is located 0. (13. 13. 114–117. 38. Z. 11E. 803–808. Tarr. Cramming More Components onto Integrated Circuits. and the cross-sectional area be 2 µm ×10 µm. K. Tyagi.G. Kittel. Nishida. Dreeskornfeld. [2] G. T. Advanced Modeling of Strained CMOS Technology. Introduction to Solid State Physics. Thompson. G. Practice and Modeling. Choi and T. [5] S.ac. Landgraf. M. El-Mansy. IEEE Electron Dev. Lett.3 V The condi. E. Griffin. vol. Chau. How long does it take before the collector current begins to change? References [1] International Technology Roadmap for Semiconductors (ITRS). Moore. Hofmann and W.D. [4] S. A Logic Technology Featuring Strained-silicon. 1968. tions are such that the transistor is in the saturation regime with VBC = 1. 1010–1018.B.iue. 2004. R. Mistry. vol.L Pulfrey and N.2 V On switching-OFF the transistor. Deal and P. J. Klaus. [8] J. Sun. Bohr and Y. L. Electronics Magazine. Ghani. the quasi-neutral basewidth be 50 nm. S. Glass. Uniaxial-process-induced Strained-Si: Extending the CMOS Roadmap. Obradovic. Sivakumar. Prentice-Hall. [3] C. Schr¨ ter. Murthy. R¨ sner. C. vol. L. Realization o o and Characterization of Nano-scale FinFET Devices. T. [6] S.. Introduction to Microelectronic Devices.E. Online [http://www.-S.. 2000. Silicon VLSI Technology: Fundamentals. Chap. Consider the transis. tor to be in the common-emitter configuration with VB E = 1. R. Kretz. Hoffman. o Technical University of Vienna. 2007. M. assume that electrons are removed from the quasi-neutral base only by recombination.D. M. Shifren. Ma. Thompson. (c) Let the base doping density be N A = 1019 cm−3 . Mcintyre.net/]. 3rd Edn. 1965. John Wiley & Sons Inc. 73–74. Electron Dev. F. Plummer.at/phd/ungersboeck/]. S.D. [9] D. Y. add a line showing the electron concentration profile at the instant the collector current would begin to decrease after VB E had been reduced to zero. Fig.tuwien. IEEE Trans.E.7. 2004. Armstrong. 191–193. 53. S. B. Ph. G.-E. Online [http://public. Cea. Auth.250 13 Transistors for high-speed logic (b) On the same sketch. 1989. . 25.E. 2006. A. Ungersb¨ ck. dissertation. 4. Prentice-Hall. vol.. B. Microelectronic Engineering. [7] J.itrs.. 2. 14. in recognition of the fact that bipolar transistors (BTs) have traditionally been dominant in high-frequency applications. then it is necessary to perform a . However. It is insightful. Because of this involvement of both transistor types (bipolar and field-effect) in high-frequency circuits. and MOSFETs employing silicon’s matchless technology can yield very small devices. this is not a particularly severe restriction. we start the chapter with the development of a generic small-signal equivalent circuit.14 Transistors for high frequencies The large-signal models of Fig. 13. This dominance has been due principally to the BT’s transconductance being inherently superior to that of FETs. if one does need to know the current in a device over periods of 10s–100s of femtoseconds.19 are to be used when considering DC and switching operations. for example. Our approach is to linearize via a Taylor series expansion. Small-signal operation is illustrated in Fig. The meaning of this was presented in Section 12. as we will show later in the chapter. Presently. the world record for f T is 710 GHz [1].1 during the defining of capacitance.1. and the small AC signal is supplied from vin via a capacitor. for which the capacitance is very low.1 Quasi-static analysis In this chapter the analysis we perform is of the quasi-static variety. FETs are encroaching into the high-frequency domain: HEMTs using high-mobility semiconductors based on GaAs and InP can yield devices with very high transconductance. Recall that the implication of this type of analysis is that information on the behaviour of the device cannot be obtained for time periods approaching that of the transit time of charge carriers within the device. 13. and computationally efficient. The base-emitter DC bias is supplied from the power-supply VB B via a resistor. nowadays.1. 14. to develop a small-signal model of the transistor by linearizing the large-signal model. and is held by an HBT based on the sleek-looking device shown in Fig. High-frequency operation is governed by the small-signal performance of transistors. The transistor is of the bipolar variety. and then manipulate the resulting equivalent circuit so that it can be used to define and evaluate two important high-frequency metrics: f T and f max . 14. As we indicated in Section 12. which shows a simple amplifier. before going on to discuss the particular merits of each transistor type.16 and Fig. However. non-quasi-static analysis. non-quasi-static analysis. at any time t . and after making many assumptions. the implications being that the charge at any point r in the device.1) . It’s worth emphasizing this by expressing it in equation form: q(r. Even using a simple device structure. Generally. Reused with permission from Walid Hafez and Milton Feng. t ) = f (Vterminals . A sub-set example of this is the Hydrodynamic Equations (5.24).22). (14. 86. t ) = f (Vterminals .1 Simple amplifier circuit showing a small-signal AC input vin .252 14 Transistors for high frequencies + VBB vout vin + VCC Figure 14. obtaining an analytical solution is a lengthy procedure [3]. numerical methods are required to carry out a full. 152101 (2005) [2]. Copyright 2005. irrespective of the previous history of the biasing. This involves the solution of the full. In the following. time-dependent version of our master equation (5. and the DC biasing of the input via VB B .2 The record-breaking HBT from the University of Illinois. is determined by the instantaneous value of the applied voltages. The presence of time derivatives and time dependencies in these equations makes their exact solution difficult to obtain. we rely on the quasi-static approach. Figure 14. American Institute of Physics. Applied Physics Letters. t < t ) . By restricting the Taylor series expansion to produce a linear relationship. so the linearization places a severe restriction on the allowable magnitude of the small signal: v31 ≡ vbe 2kT /q ≈ 50 mV at 300 K. Further. indeed. I2 ≡ IC depends exponentially on V31 .1.3a. 14. j = 1. 14. and comprises a DC component I J .14. and take it to represent either the collector current in an HBT or the drain current in a FET. 2.2 The generic small-signal model 253 14.3b.3) where the g’s are conductances. names for which will be assigned later. where the new g’s are to be named later. it is implicit that the second. using the quasi-static approximation. . V31 ) + ∂ V21 ∂ V31 ≡ I2 + g22 v21 + g23 v31 . The equivalent circuit representing the small-signal components is shown in Fig.1 Don’t be alarmed by the 1 It is instructive to convince yourself that the two circuits of Fig. One version of the latter is shown in Fig. the total current i 2 depends on the instantaneous values of the terminal voltages V21 + v21 and V31 + v31 . yields i3 = ∂ I3 ∂ I3 v31 + v21 ∂ V31 ∂ V21 (14. V31 + v31 ) ∂ I2 ∂ I2 v21 + v31 = I2 (V21 . (14. j = 2 as an example. and the exponent of any relations in the saturation regime never exceeds 2. for bipolar devices.4) Performing a similar Taylor series expansion for i 3 . Let us take J.3 are. Do this by showing that the terminal currents are the same in each case. It is often convenient to turn this two-generator equivalent circuit into a one-generator circuit. this is not much of an approximation because I2 ≡ I D . and let us use the notation i J (t) = I J + i j (t) J. Applicable to BTs. and a small-signal AC component i j .5) = g33 v31 + g32 v21 . 12. let us use terminal 1 (the emitter or source) as the reference for potential. 3 . Thus. The next step is to linearize the expression for the current by taking the Taylor series expansion for the total current i 2 to first order. (14. (14. 14. the gate or base small-signal current.2 The generic small-signal model Recall the generic transistor of Fig. is already linear in V31 ≡ VG S and V21 ≡ VDS in the resistive regime. for example.2) where i J is the total current at one of the three terminals J of a transistor. equivalent. i 2 = I2 (V21 + v21 .and higher-order terms can be neglected. However. For FETs. (b) One-generator model with the same terminal characteristics as the circuit in (a).6) is usually replaced by an equals sign. practically.. those currents involving only conductive components.2 Thus. As VC E is increased (in the active mode). recall g32 = ∂ I B /∂ VC E . The naming of the transconductance deserves some comment. the quasi-neutral basewidth shrinks and there is less recombination in the base. Recall the term ‘transcapacitance’ in Chapter 12: it referred to a circuit element that allowed for the non-reciprocity of capacitances. Transconductance is denoted by the symbol gm and it follows that gm ≡ g23 − g32 ≈ ∂ I2 . ∂ V31 (14. Generally speaking. i. |g32 |. the ‘approximate’ sign in (14. .254 14 Transistors for high frequencies 3 g33 (a) 1 3 g33 + g32 (b) 1 −g32 (g23 − g32 )v31 g32v 21 g23v 31 g22 2 2 g22 + g32 Figure 14. |g32 | is much smaller than the other conductances. gm can be evaluated this way because g23 2 Taking the bipolar case as an example.3 Small-signal AC equivalent circuits for all transistors. but for the transport currents. The transconductance performs exactly the same function. (a) Two-generator model.6) Interestingly.e. so I B decreases. so it is common practice to name the other conductances as follows: g33 + g32 ≈ g33 g23 − g32 ≈ g23 g22 + g32 ≈ g22 input conductance transconductance output conductance.. g32 itself is negative. the fact that the effect of terminal 2 on terminal 3 as regards charging currents may be different from the effect of terminal 3 on terminal 2. appearance of a negative sign in front of g32 . the conductor in the top branch of the circuit is real. as. implying a definition of transconductance that we have just shown to be strictly incorrect. g32 is often called the reverse feedback conductance.e. However. i. in fact. linearized. 14. . Because of this. equivalent circuit.4 Generic. The resulting circuit is shown in Fig. the circuit is known as the hybrid-π equivalent circuit. the circuit of Fig. With some imagination. E B Base CCB Collector R B.4. and its topology.acc E cap Emitter RE C EB B C Sub–collector RC C Figure 14.3 Hybrid-π small-signal model for HBTs 255 C32 −g32 C31 C12 Cm dv31/dt 3 g33 + g 32 2 g22 + g32 (g23 − g32 )v31 1 Figure 14.14. 14.sp R B. 14.1 with parasitic C’s and R’s superimposed. 9.3b can be viewed as having the shape of the Greek letter π. first consider Fig. hybrid-π .4 specific to HBTs. small-signal. which shows a superposition of the capacitors and parasitic resistors on an actual HBT structure. 14.3 Hybrid-π small-signal model for HBTs To make the generic circuit of Fig.5. 14.5 HBT structure of Fig. The next step in the development of the small-signal equivalent circuit is to add capacitors to represent the various charge-voltage relationships derived in Chapter 12. It is a hybrid circuit because it brings together two sets of components that were derived using different models. 14.4 with some omissions (see text). The AC short-circuit at the output is the effective result of holding constant the DC bias VC E . As we saw in Section 12. Transferring the resistors and capacitors to the hybrid-π circuit yields Fig. 14. 9.sp is considered in more detail in Section 14. This is the condition under which the frequency metric f T .1. and the base-collector junction capacitance is labelled as Cµ .sp ) that must account for the spreading nature of the resistance in the quasi-neutral base. The resistors represent: the resistance of the quasi-neutral emitter. Following tradition. R B.256 14 Transistors for high frequencies Rb gp ib b Cp Cm c Rc gmv be e ie Re ic Figure 14.7 shows experimental data for the frequency dependence of the square of the magnitude of the current gain |i c /i b |2 of an HBT.acc ) due to the path from the base contact to the edge of the base quasi-neutral region.4 f T : the extrapolated unity-current-gain frequency Fig. which is easy to envisage. as we now describe. Note how the measured data ends at a frequency that is imposed by the capabilities of the measuring equipment.6 The circuit of Fig. 14. 14. and a less obvious component (R B. and the resistance of the base.3.6. at least as regards junction and storage capacitances. the output conductance has been omitted because it has a near-infinite value in the active mode (see Fig. The . Also. so we omit it here.6). the gain appears to be rolling-off at −20 dB/decade. which is indicative of an RC-circuit with a dominant single pole. However. so it is permissible to ignore g32 .6. the base-emitter capacitance is labelled as Cπ . The circuit is for the case of an HBT biased in the active mode of operation. transcapacitance is not an issue in HBTs. the input conductance is labelled as gπ . for a decade or so before this frequency limit. C EC is also omitted as there is negligible effect at the emitter of any change in VC B when the transistor is in the active mode. Note the AC short between the collector and emitter terminals. as applies to the measurement of f T . The latter comprises an access resistance (R B. is determined. and with new labelling for the remaining components and for the terminals. the resistance of the quasi-neutral collector and the path followed by the collector current to the actual collector contact. 907 V VCB = 0 V JC = 16. Thus. we will systematically simplify the expressions in our derivation to arrive at a gain that is proportional to 1/ω2 . as far as the AC signal is concerned. The label for |i c /i b |2 should be |h 21 |2 .14.4 f T : the extrapolated unity-current-gain frequency 257 40 h21 U MSG/MAG 30 Gain (dB) 20 Emitter Area = 0. Figure 14. starting from the equivalent circuit that we have developed. or. and the frequency at which the gain becomes unity (0 dB). 86. Reused with permission from Walid Hafez and Milton Feng. The object of the following subsection is to derive an expression for f T . Copyright 2005.1 1 10 Frequency (GHz) 100 1000 from lower frequencies. f T . by extrapolating back from f T at +20 dB/decade.1 An expression for f T Measurements of the current gain for evaluation purposes are performed with the collector and emitter terminals held at constant potentials. the current gain at useful operating frequencies can be readily ascertained. You may be wondering why anyone would wish to know a frequency that cannot be measured. is called the extrapolated f T .4. Because we are seeking a frequency range at which there is a single-pole roll-off. rather than h 21 . This is the case shown in Fig. .4 × 6 µm2 VCE = 0. 14. Applied Physics Letters. and that is associated with an impractically low value of gain? The answer is two-fold: it is a definite figure that can be used to compare transistors and. This expression will identify the components of the transistor that need to be considered if high-frequency performance is to be attained. simply. the emitter is shorted to the collector. 14.82 mA/µm2 fT = 604 GHz 10 −20 dB/decade fMAX = 246 GHz 0 0. American Institute of Physics.6. 152101 (2005) [2]. illustrating extrapolation at −20 dB/decade gain can be extrapolated at this slope to higher frequencies.7 Experimental data for f T and f max . the assumption has been made that i b Re i c (Re + Rc ). note vbc = vbe + vec = vbe + i e Re + i c Rc = vbe + (i b + i c )Re + i c Rc ≈ vbe + i c (Re + Rc ) . the following two conditions must be met: ω2 ω2 2 gm 2 Cµ Condition 1. gm − jωCµ vbe .11) To reduce this to an expression having the desired frequency independence. substituting for vbc in the expression for i b yields i b = gπ + jωCπ + jωCµ (1 + Rec gm ) vbe . . where. this assumption is justifiable.12) (14.10) (14. the following condition must be met: ω2 2 gπ Cπ + Cµ (1 + Rec gm ) 2 Condition 3 . i. it follows that i b = [gπ + jωCπ ] vbe + jωCµ vbc i c = gm vbe − jωCµ vbc . Turning now to the base current.9) (14. To eliminate vbc from the above expressions.13) To reduce this to an expression having the desired dependence on frequency of ω2 . to simplify the following algebra. (14. The square of the magnitude of the collector current is |i c |2 = 2 2 gm + ω2 Cµ 2 2 1 + ω2 Cµ Rec 2 vbe . Condition 2. 1 2 2 Cµ Rec These conditions set an upper limit to the frequency at which the extrapolation can start.14) This condition sets a lower limit to the frequency at which the extrapolation can start.7) As we expect the current gain to be much greater than unity. Substituting for vbc in the expression for i c yields ic = where Rec = Re + Rc . (14.e. i c /i b Re /(Re + Rc ) . The square of the magnitude of the base current is 2 2 |i b |2 = gπ + ω2 (Cπ + Cµ (1 + Rec gm ))2 vbe .8) (14.258 14 Transistors for high frequencies Using the notation of this figure.. (14. 1 + jωCµ Rec (14. 20) τC = 2vsat .23). (14. so the resulting components have the dimensions of time. From (14.16) an overall signal delay time τ EC can be expressed as τ EC = = 1 Cπ Cµ (1 + gm Rec ) = + 2π f T gm gm C E B.15) gm 2π f T = . is called the extrapolated f T .36): w .3 have been substituted for Cπ . and can be thought of as the time taken for the charge in a particular region to adjust to the new value demanded by the small-signal at the input of the transistor. where the components of C E B and C B E discussed in Section 12. gm W (14. j gm + C E B.18) The signal delay associated with the quasi-neutral base follows from (12. Each time is in the form of an RC-time constant. the emitter signal delay is the time taken to charge the emitter/base junction capacitance via the dynamic resistance (1/gm ) of the transistor: τE = s AE . short-circuit condition. or simply f T . When extrapolated to a current gain of unity.14. and the collector-base junction capacitance has been substituted for Cµ . assuming that the three conditions above are satisfied.15) This expression is in the desired form as the square of the magnitude of the current gain has a −20 dB/decade roll-off with increasing frequency. the resulting frequency.b C + B E.16) Cπ + Cµ (1 + gm Rec ) 14. From (12.5 Designing an HBT for high f T For design purposes it is helpful to break-up (14.19) The signal delay associated with the change in field in the base/collector depletion region due to the passage of the electrons carrying the signal current follows from (12. (14.17) ≡ τ E + τ B + τC + τCC .t + CC B gm gm 1 + Rec gm (14. and are known as signal delay times.16) into components that can be identified with various regions of the device. which can then be targeted for improvement if necessary. (14.27) and (9. From (14. ω2 (Cπ + Cµ (1 + gm Rec ))2 (14.11): τB = WB 2 1 WB + Dn vR . the reciprocal of f T is considered. in this common-emitter. the expression for the square of the magnitude of the current gain is ic ib 2 = 2 gm . To do this.5 Designing an HBT for high f T 259 Finally. (14. The stored charge is not much affected by base-grading. by varying the Ge mole fraction x in a compound of Si1−x Gex . W E and WC are the widths of the quasi-neutral-emitter and collector. field-assisted. for example. W B is so short ( 50 nm) that the major delay in the device is no longer the base delay. electron velocity. The bandgap decreases as the Ge mole fraction increases. 8.5. As in a MOSFET. The improvement in τ B due to base-grading is illustrated in Fig. leading to the creation of an electric field that adds a component of drift to the usual diffusion current of electrons.b .8.8.260 14 Transistors for high frequencies Finally. That dubious honour is shared by the two delays associated with the collector. so the improvement in τ B is due almost entirely to the increase in current. To get an idea of how short the delays must become. Je bDn b vsat b (14.21) where A E and AC are the areas of the two junctions. and the σ ’s are the relevant conductivities (see Section 5. This increase in current reduces the time needed to charge the capacitance C E B. the change in bandgap is manifest as a change in conduction-band edge. but its lattice constant is too different for it to be used directly as a low-bandgap base with a Si emitter.20) and (14. 14. The derivation of an expression for τ B in a graded-base HBT is outlined in Exercise 14.37): τCC = s AC w WE WC 1 + + gm A E σE AC σC . However. . Germanium has many similarities to silicon. Note from (14. yet the valence-band edge remains essentially flat in the base because of the very high p-type doping therein. with E g being the bandgap change across the base due to a linear compositional grading. τ B is reduced. Vertical scaling reduces W B and the base/collector depletion-region width w. The result is τB = 1 − e−b Qn B W2 W B 1 − e−b = B 1− + . the key to better performance is scaling. and the electrons are assumed to exit the base at their saturation velocity vsat . the charging of the base/collector junction capacitance via the dynamic and parasitic resistances follows from (12. 14.4. 14.2).e. Lateral scaling reduces A E and AC .1 SiGe HBT Fig.22) where b = E g /k B T . where the Ge content is varied from 0 to about 15% over a region spanning the p-type base.. In high-performance InGaP/GaAs HBTs. which stems from the higher. respectively. An example is shown in Fig. the overall signal delay τ EC would have to be ≈ 200 fs.3 indicates that the choice of semiconductors for combining with silicon to make a near-lattice-matched HBT is limited. i. a graded-composition base can be formed.9. Thus.21) that a compromise must be reached regarding w as it affects τC and τCC differently. realize that for f T = 800 GHz. Q n B and τ B are all normalized to their values in an HBT with a uniform base-composition.04 0. These parameters are typical of SiGe HBTs. Top: energy-band diagram showing how the bandgap reduction in the base. .5 3 Normalized values 2. leads to a field that aids the passage of electrons. 4 3.14.8 SiGe HBT.5 2 1. Bottom: a typical Ge profile.5 0 0 0.08 Change in base bandgap (eV) 0. as the Ge content increases.02 0. and base transit time on the bandgap difference between the ends of a linearly graded base of quasi-neutral basewidth W B = 100 nm. base charge.9 Dependence of electron current.1 JC Q nB tB Figure 14.5 1 0. Je .5 Designing an HBT for high f T 261 Energy Si band edge (no Ge) E Fn E Fp EC E Fn EMITTER n Si BASE p+ Si COLLECTOR n − Si EV x Ge % 15 0 x Figure 14. and for an electron diffusivity of 30 cm2 s−1 .06 0. 262 14 Transistors for high frequencies (a) vin Rb b Cp e Cm c vopen gm v be (b) vTh Z Th c ZL e Figure 14. On the other hand.and field-effect-transistors on the same chip. 14. and any desired current can be forced through any resistance. This is because f T relates to the current gain. Extrapolation at this gradient to a power gain of 0 dB yields f max . (b) The Th´ venin equivalent. a powerful technology for mixed-signal applications. 14. provided enough voltage can be applied. we seek to develop an expression for the power gain that rolls-off with frequency at −20 dB/decade.4. are unrivalled for dense.10 Equivalent circuits for estimating f max for bipolar transistors. digital circuitry.6. in the form of Si CMOS.3 The resulting circuit is shown in Fig. the base resistance. is such a metric. (a) Simplified form of the circuit in Fig.6 f max : the extrapolated unity-power-gain frequency One important device parameter missing from the expression for f T is Rb . In practice.10a. an extrapolated frequency related to the power gain. ignore Re and Rc .4. e The ability to provide high currents and good high-frequency performance are bipolartransistor attributes. for simplicity. FETs. To develop an expression for f max consider the hybrid-π circuit of Fig. 14. Note also that the input conductance is missing from the circuit: this is because we have supposed that the frequency from which we are going to make the extrapolation is high enough such that ω2 2 gπ 2 Cπ Condition 4 .23) where the numbering of the conditions follows-on from Section 14. Following the general procedure used in Section 14. (14. 3 Including Re and Rc leads to a very cumbersome expression for f max [4].6 and. there are limits to the available input voltage. 14. The result is BiCMOS. The SiGe HBT provides silicon technology with the capability of integrating bipolar. so some metric that takes this into account is desirable: f max . . 14. Circuit Theory tells us that the load impedance Z L should be the complex conjugate of Z Th . the total impedance of the circuit in Fig. 2 2 Rb ω 2 C µ (14. Under this circumstance.26) where the considerable simplification is achieved by imposing the following assumptions: Cπ ≈ C T gm 1 Rb in the numerator in the denominator.6 f max : the extrapolated unity-power-gain frequency 263 The Th´ venin equivalent of this circuit is shown in Fig. Expressions for e the Th´ venin voltage source vTh and impedance Z Th can be obtained using standard e procedures from Network Analysis.25) (14.10b is Z circ = Z Th + Z L = 2 (Z Th ) .29) . ≈ 2 4 (Z L ) 4Rb ω2 Cµ C T (14. −1 jωCµ (gm + Rb ) − ω2 Cµ Cπ where C T = Cπ + Cµ . the output power under these gm |vTh |2 |vin |2 . For maximum power transfer to the load. we need |vTh |2 .14.24) −1 Rb + jωC T . The results are vTh = vin Z Th = jωCµ − gm jωCµ (1 + gm Rb ) − ω2 Cµ Cπ Rb (14.27) where the simplification is achieved by invoking the following conditions: ω2 ω2 2 gm 2 Cµ 2 gm 2 Cπ Condition 6 Condition 7 .10b. and by invoking the following condition: ω2 −1 (gm + Rb )2 2 Cπ Condition 5 .24) by |vTh |2 = |vin |2 2 2 ω2 Cµ + gm 2 2 2 2 ω2 Cµ (1 + gm Rb )2 − ω4 Cµ Cπ Rb ≈ |vin |2 1 . It is understood that the magnitudes of the small-signal currents and voltages we are using are RMS quantities. where the real part of Z Th is (Z Th ) = −1 −1 CT −ω2 Cµ Cπ Rb + ω2 Cµ C T (gm + Rb ) ≈ . 2 C 2 (ω2 C 2 + (g + R −1 )2 ) Cµ gm ω µ m b π (14. that gm conjugately matched conditions is Pout. Thus. (14. 14.max = 1/Rb . which is given from (14. Thus. once again.28) and by assuming. 14. = 4 (Z in ) Rb (14. 14.i .10a with a power supply of voltage v S . Cπ . 14. Equation (14. It is given by f max = f T. the current associated with the left contact is given by I B (y) = IB [1 − y/ h] . i. and with a series impedance of Z S that is conjugately matched to Z in . and the current I B /2 at the left-hand contact is represented by arrows penetrating laterally under the emitter. Reduction of Cµ is largely a question of making the active part of the base/collector junction as small as possible. ωCπ (14.31) The frequency at which MAG = 1 is the extrapolated f max . 2 (14.6.11. and a high impedance 1/jωCµ to reduce feedback of power from the output. This allows the impedance looking into the input of which is equivalent to Cµ the transistor to be written as Z in ≈ Rb − j . f T from (14. HBTs are particularly suited to decreasing Rb because the heterojunction at the emitter/base interface can be specifically designed to impede back-injection of holes into the emitter.sp in Fig. 8πCµ Rb (14.max = The maximum available gain is MAG = Pout.32) |v S |2 |vin |2 .1 Base-spreading resistance A significant contribution to Rb comes from the base-spreading resistance (Rb.. but also a low base resistance to reduce absorption of the input power. 2C C R Pin.16) without considering parasitic resistances. consider the HBT with two base contacts shown in Fig.5).33) emphasizes the need to have not only a transistor with good intrinsic high-frequency performance. Let us assume that this component of base current falls off linearly in the lateral direction y due to recombination with electrons injected from the emitter.33) where f T.max gm = . and the input power is Pin. The total base current I B is split between the two contacts.34) .264 14 Transistors for high frequencies Turning now to the input power.e. thereby reducing Rb without compromising the current gain. This allows the base doping density to be increased.max 4ω µ T b (14. the condition for maximum power transfer to the transistor is met. To estimate this resistance.30) Replacing vin in Fig.i = gm /2πC T is the intrinsic f T . Thus. simply f max . we make use of an earlier assumption (Cπ ≈ C T ). 14. or. We now relate this current to a power loss in the left-hand side of the device via Pleft (y) = I B (y)2 R Pleft = 0 h 2 IB 4 1− y h 2 ρ dy . the total power dissipation is P= 2 IB 2 R B. Adding-in the power loss due to Joule heating in the right-hand side of the base. where h is one half of the lateral extent of the base (see Fig. laterally injected from the base lead.Q N B is the actual resistance of the full quasi-neutral base region.6 f max : the extrapolated unity-power-gain frequency 265 E B Base R B. Bottom panel: showing the assumption of a base current varying linearly with y.Q N B . Performing the integration gives Pleft = where ρ2h (14.11 Base spreading resistance.35) where ρ is the resistivity of the base material and A = Z W B is the cross-sectional area. A where R B.36) (14.11).38) . 24 B A (14.sp .37) ≡ R B.acc Emitter B IB IB /2 0 y 0 h Figure 14. Top panel: illustrating the recombination in the quasi-neutral base of holes. 12 1 2 ρ2h I .Q N B ≡ I B R B. A (14.sp Collecto r R B. 14.14. with Z being the length into the page of the base and W B being the quasi-neutral basewidth. with electrons injected from the emitter. and gate. One can also maintain the topology of the large-signal equivalent circuit of Fig. All one needs to do is: change the subscripts (1. the reverse-bias feedback conductance g32 has been neglected. high-frequency. 14. which implies that there is no tunnelling or leakage through the oxide in the case of a MOSFET. Si MOSFETs in the SOI technology. add resistors to represent the parasitic resistances of the source.3 become s.12. 14.sp less than R B.266 14 Transistors for high frequencies G RG C'GS C'SD C'GD RS S C ' dvgs /dt m g mv gs gdd RD D Figure 14. Note that we have not included any components to represent the substrate: this is warranted for HJFETs on semiinsulating substrates. The total base resistance in Fig. drain. Thus. linearized.sp . the output conductance (g22 ≡ gdd ) has been retained because of the resistive nature of the channel that connects the source to the drain.16. equivalent circuit for FETs follows directly from the general. with no leakage currents to the gate. Additionally. respectively). nor any transport current in the Schottky diode of an HJFET. equivalent circuit for a FET.4. . the provision of two base contacts achieves the desired goal of reducing the effective base resistance. 14. as we have done in Fig. We have omitted the input conductance g33 . A value for R B. small-signal.Q N B is to be expected as not all of the base current penetrates the full lateral width of the base. and make any appropriate simplifications.acc + R B.d.7 f T and f max for FETs The small-signal. as was the case for the HBT.39) 14. hybrid-π circuit of Fig.2.sp .12 The high-frequency. which defines the base spreading resistance R B. 13. However. and coaxial nano-FETs (see Chapter 18). and with no substrate effects. (14.g.4 is R B = R B. but it is optimistic for planar Si MOSFETs on substrates of appreciable conductivity. less scattering than in the 3-D case because of there being one less dimension of freedom for electron movement. Observe that the equivalence of (14. to a large extent. The main drawback for MOSFETs is the inherently low transconductance.4.1. where the exponent n is somewhere between 1 and 2. a high transconductance is desirable for obtaining a high f T . Low values for the gate capacitances C gs and C gd are also desirable. as this would enable smaller and more powerful mixed-signal integrated circuits. so low values of capacitance are also possible in Si MOSFETs.7.40) becomes exact if gdd is less than both 1/Rsd and gm . This is clear from measurements on a prototype Si MOSFET from IBM. a point not mentioned in Section 11. an f T of 562 GHz has been reported for a similar length (25 nm) HEMT [6].14. and it is this attribute that makes InP and GaAs HEMTs and MESFETs well-suited to high-frequency applications. away from the interface. for which the dielectric is thicker than the oxide in MOSFETs.1 fT By following the procedure used for HBTs in Section 14. finally.3. This is particularly the case for HEMTs. Obviously. it is required that the overdrive voltage be VG S − VT = nVth . C gs (1 + gdd Rsd ) + C gd (1 + (gm + gdd )Rsd ) (14. Recall that gm ≈ d I2 /d V31 .16) and (14.16) can be derived for the extrapolated. This is another reason for the dominance of HJFETs in high-frequency applications where field-effect transistors are employed. unity-current-gain frequency of FETs: 2π f T = gm . confinement of the channel charge.41) For equal ratios in the two transistor types. common-source.7 f T and f max for FETs 267 14. However. for which the effective gate length was 27 nm and the extrapolated f T was 220 GHz [5]. The corresponding transconductances per unit current are 1 gm = I Vth = n VG S − VT HBT MOSFET . where the high-mobility of the starting semiconductor material is preserved by the device features of: undoped channel material.40) where Rsd = Rs + Rd . Contrast this with an HBT. an equation very similar to (14. for which IC ∝ exp(VB E /Vth ). In this era of ubiquitous wireless communication there is considerable interest in improving the high-frequency capability of Si CMOS FETs. and that for a FET in the saturation mode I2 = I Dsat ∝ (VG S − VT )n . This correspondence emphasizes the basic similarity of transistor types. the superlative technology of Si CMOS means that very small structures can be realized. (14. In HJFETs these capacitances are primarily reverse-biased junction capacitances. (14. For comparison. Having a high mobility helps in this regard. undoped spacer layer of barrier material for electrons that do spread into the barrier.42) . 2. If comparable conditions are met for the operation of a MOSFET. as opposed to having to control (VG S − VT ) to be ≈ Vth . 14. 14. 14. Specifically: f max = f T. the derivation of an expression for f max proceeded from the equivalent circuit of Fig. For very high frequency measurements.2 f max For HBTs. The conditions that must be met for this circuit to be a reasonable approximation to the full.43) serves to draw attention to the need to minimize the gate capacitance and resistance.and z-parameters by measurements under specific short. a very low bias current. 14. it is possible to determine the y. Terminating the output by the characteristic impedance of the transistor. This is achieved in modern MESFETs and HEMTs by using the ‘mushroom’ structure for the gate illustrated in Fig.10b. by virtue of the transport mechanism (thermionic emission over a barrier).i . Earlier. it is usual to treat the transistor as a two-port network.268 14 Transistors for high frequencies At 300 K the operative voltage for the MOSFET would be ≈ 50 mV which would mean . equivalent circuit of Fig. in which an exponential I D − VG S relationship holds. If an even lower current could be tolerated. results in no power reflection from the load. small-signal. oscillation and stability Our presentation of the high-frequency metrics f T and f max has focused on their relation to the physical properties (capacitance and resistance) of transistors. For our present purpose. It marks the boundary between when the device is active (power gain > 1) and when it is passive . and the wider top region keeps the access resistance low. If the conditions required to derive this equation cannot be met. i. In this case a gm /I ratio rivalling that of HBTs is possible naturally. 8πCdg Rg (14.8 Power gain.. and this allows direct determination of the reverse feedback parameter. then it is still possible to arrive at an expression for the power gain that rolls off at −20 dB/decade. impedance (z-parameters). which is s32 in our notation. 11. The small contact region between the gate metal and the underlying semiconductor allows a short gate length to be achieved. then it follows that an approximate expression for f max for a MOSFET will have exactly the same form as (14. In Circuit Analysis.4 were stated earlier in this chapter.43) where the intrinsic value of f T is 2π f T i = gm /C gg . terminating the network ports via finite impedances is generally done.33) for the HBT. and to employ small-signal parameters that refer to either admittance (y-parameters).7. then it would be advantageous to operate in the sub-threshold regime. for example. or power ‘scattering’ (s-parameters) [8]. which are demanded by modern high-performance transistors.or open-circuit conditions. but the equation is much lengthier [7]. Experimentally.e. we defined f max as the frequency at which the power gain is unity. (14. instead. an output could be sustained with no input. Another frequently used power gain in transistor measurements is Mason’s Unilateral Gain U. and no oscillation. both U and MAG extrapolate to the same value of f max (see Fig. Thus. The cross-sectional area of the HBT is (1 × 1) mm2 . the transistor is potentially unstable. 14. At frequencies higher than f max no amount of external feedback via passive components can create this condition.7 it appears that the current gain (h 21 ≡ our y23 /y32 ) flattens out at ‘low’ frequencies.2 For the HBT used to generate Fig. this means that.Exercises 269 (power gain < 1)..0 V The emitter doping density is 1018 cm−3 and the width of the emitter quasi-neutral region is 100 nm. This condition is achieved at the specified frequency by addition of suitable components to the circuit [9]. it could oscillate. 4[ (z 33 ) (z 22 ) − (z 32 ) (z 23 )] (14. the maximum stable gain MSG. The minority carrier properties of InGaP can be taken to be the same as for correspondingly doped GaAs.3. as we do in an amplifier. or by appropriate external feedback. s32 = z 32 = y32 = 0.. the gain is no longer the maximum available gain. because there is always feedback internally through Cbc or C gd . Estimate the transconductance and the input conductance of the HBT under the stated operating conditions.44) This expression is used in Exercise 18. at some particular frequency. In the absence of external feedback. 14. For even lower frequencies. The z-parameter version of U is U= |z 23 − z 32 |2 .e. i. i. The corresponding values for the base are 1019 cm−3 and 25 nm. below about 3 GHz in this case. the gain of the system could become infinite.49 Ga0. If we want MAG > 1.1 Consider an Npn In0. At such a frequency. i. Under these circumstances the circuit is said to be unilateralized. Calculate the low-frequency gain for the device of the previous question. It is not sufficient to merely disconnect the external feedback circuitry. then passivity has to be maintained at frequencies lower than f max . but allowing for passive terminations that maintain the conjugate matching but do not cause oscillation.51 P/GaAs/GaAs HBT operating in the active mode .7).e. with VB E = 1.e. 14. Evidently. but is. Such a circuit is an oscillator. so it has to be stabilized by additional terminating components. if the output were fed back through an external circuit to the input. Exercises 14. there is no contribution to the current or voltage at the input from any current or voltage appearing at the output. In terms of the small-signal parameters. so f max is also known as the maximum frequency of oscillation. the transistor is said to be inherently stable.25 V and VBC = −3. . for the entire network (transistor and additional components).. This metric refers to the situation when feedback is employed to ensure that. 4 × 6 µm2 . ratio gm /I D at two gate-source biases: (i) VG S = (VT − 0. (b) Use L’Hˆ pital’s rule to show that (14.5 An HBT of similar structure to that shown in Fig. The base appears to be contacted on either side of the emitter stripe. (14. and solve for n(x). Estimate the electron mobility in the base.5) V. for which the drift velocity is shown in Fig. The base signal delay time τ B is quoted as being 65 fs. (a) Derive (14. If the area of the collector/base junction is 0.7) for n(0). Hence find Je from (14. 14.9 Consider a typical CMOS90 Si N-MOSFET with VDS = 1 V Estimate the . 14.6 The collector of the HBT of Question 14. .45).1. Start with the expression for the electron current in a graded base [10] Je = q De dn bn − dx WB . Assume there is no recombination in the base (d Je /d x = 0).1 has a doping density of 1017 cm−3 and is quite wide (vertical dimension). . 14. estimate the collector/base junction capacitance CC B . Use the boundary condition (9. what value of base resistance Rb would be needed to make f max = f T i ? 14. The semiconductor is InGaAs. and the base charge Q B by integration.7 For the HBT of the previous question.270 14 Transistors for high frequencies 14. and for n(W B ) use J (W B ) = −qn(W B )vsat .3 For the HBT shown in Fig.8 This question concerns the base signal delay in a graded-base HBT.19) in the case of o no base-grading and vsat = 2v R . (a) Evaluate the combined signal delay time (τC + τCC ) associated with the base/collector space-charge region of this HBT.45) where b = E g /k B T .4 The width of the InGaAs base in the high-performance transistor of the previous question can be taken to be 20 nm. 14.2.22) for τ B in a graded-base HBT. What might be the changes in f max and in f T if there was only a base contact on one side of the emitter? 14. 14. (c) Evaluate the reverse bias that should be applied to the base/collector junction to realize this minimum delay.5) V and (ii) VG S = (VT + 0.22) reduces to (14. The expression for τ B in (14. [2] quote a figure of 72 fs for the base/collector signal delay time τC .22) follows from Q B /Je . (b) Determine the width of depletion region at the base/collector junction that would minimize (τC + τCC ). Ignore all resistances. Hafez et al. 11. 14. both in terms of n(0) and n(W B ).2 has been reported with f T = 710 GHz and f max = 340 GHz [1]. vol. Matthew Breitwisch. MESFETs.7 Ga0.3a can be turned into a y-parameter 2-port by simply replacing all the conductances by admittances. the circuit is then described by i2 i3 = y22 y32 y23 y33 v21 v31 . 1999.. vol. 46. Here. Lett.5 nm Base Pseudomorphic Heterojunction Bipolar Transistors Achieving f T = 710 GHz and f max = 340 GHz. Experimental Demonstration of Pseudomorphic Heterojunction Bipolar Transistors with Cutoff Frequencies above 600 GHz. 301–309. 2005. Phys. 14. Basanth Jagannathan. Sebastian Csutak.3 As HEMTs with an Ultrahigh f T of 562 GHz. 23. [3] W. Matsui.12. 252109. Snodgrass and M. Digest.46) reveals that. (14. [5] Sungjae Lee. IEEE Electron Dev.. pp. References [1] W. T. 14. Endoh. W. Lett. Use this fact to show that the expression for the intrinsic f T for a MOSFET is of exactly the same form as for an intrinsic HBT ((14. Feng. and HFETs/HEMTs. when v21 = 0.48 As/In0. Nimura. vol. 1999. Vaidyanathan and D. IEEE IEDM Tech.10 One of the two values computed in the previous question is equal to that for an HBT.References 271 14. Hafez and M. (14. 12. 2002.12 Equation (14. 14. 152101. [6] Y. 2005. [2] W. Noah Zamdmer. 87. Phys.. Hafez.L. Taking terminal 1 as reference. vol. 2005.. 573–575. [4] M. Record RF Performance of Sub-46 nm L gate NFETs in Microprocessor SOI CMOS Technologies. In0.52 Al0. Fundamentals of III-V Devices: HBTs.47) where we have used lower-case subscripts in place of the upper-case subscripts and the primes used in Fig.46) This description applies to any 2-port. . K. show that the so-called intrinsic y-parameters are: y22 = gdd + jω(Csd + C gd ) y23 = gm − jω(Cm + C gd ) y32 = − jωC gd y33 = jω(C gs + C gd ) . the current gain is simply y23 /y33 . IEEE Trans. 226–231. Yamashita. 241–244. Shinohara.11 Fig. the capacitances in the above equation are total capacitances. Lawrence Wagner. Liu.e.. S. such as Fig. Hikosaka. Does this fact alone mean that MOSFETs can challenge HBTs in practical high-frequency applications? 14. not capacitances per unit area. Feng. Hiyamizu and T. Appl. Electron Dev. Ravikumar Ramachandran and Greg Freeman.. Appl. John Wiley & Sons Inc. A.12 for a MOSFET. i.16) with Rec = 0). K. 86. ignore the parasitic resistances and then. Lett. Extrapolated f max for Heterojunction Bipolar Transistors. 14. Pulfrey. by comparing the two circuits. John Pekarik. C. vol. 1.J. 2173–2182. 300–304.. Pulfrey.. IEEE Trans. [10] S. 1. Electron Dev. 2006. Extrapolated f max for CNFETs. Power Gain in Feedback Amplifier.M. Ho and D. IRE Trans. Mason. The Effect of Base Grading on the Gain and High-frequency Performance of AlGaAs/GaAs Heterojunction Bipolar Transistors. vol. Circuit Theory. Prentice-Hall. Gonzalez. . 2nd Edn. 1984.272 14 Transistors for high frequencies [7] L. [9] S.L.L. 1954. Pulfrey. Nanotechnology. vol. Chap. 36. 1989. 17. 20–25. [8] G.C. Castro and D. Microwave Transistor Amplifiers: Analysis and Design. 15. each based on the Si MOSFET: flash memory. and is. one of which is completely surrounded by insulating oxide. so the memory has to be refreshed periodically. The feature of flash memory and DRAM that gives them their capability of exceptionally high density is the use of a single transistor for the memory element (see Fig. The structure is shown in Fig. 15. and personal digital assistants.g. have the basic organizational structure shown in Fig. digital cameras. The state of charge on the floating gate determines the threshold voltage of the transistor and. Both memories.1 Flash memory The MOSFET in a flash-memory cell has two polysilicon gates. Leakage of charge from the storage capacitor also dictates that there be frequent refreshing of the memory’s contents.15 Transistors for memories Semiconductor memory is one of the main drivers of the semiconductor industry. There is some interesting physics in this non-volatile memory element: data is stored as charge on a floating gate within the insulator of a MOSFET.1a. 15. The effect of floating-gate charge density Q F on the charge density in the channel Q n is illustrated in Fig. indeed. and interprets from it the state of the memory cell. Competition is fierce to increase density. and has also enabled many popular products. the magnitude of the drain current that will pass into the bit line when the cell is interrogated. As we show later. the action of reading a ZERO changes the stored data. A current sensor in the bit-line circuitry detects this current. hence the appellation ‘dynamic’. e. or by invoking directly the conservation . or to remove electrons from the floating gate (erasing). memory sticks. floating electrically. Charging and discharging is achieved ‘in a flash’ via field-assisted tunnelling. and dynamic random access memory (DRAM). Data is stored in a capacitor attached to the source of a pass transistor. Programming of the cell is achieved by applying an appropriate voltage to the top gate (also called the control gate) via the word line. The intent is to either place electrons on the floating gate (writing). 15. Applying Gauss’s Law. all semiconductor memories. DRAM is the main memory in PCs and workstations. In this chapter we describe two very important and much-used types of memory cell. therefore. and to reduce power dissipation and access times.1b). therefore. and. 15. Flash memory is used in computer BIOS..3.2. and read from. only polarization charges induced by the charge on the top gate. and the connection to the source differs according to the type of memory. the drain connects to the bit line. one of which is floating. . and the connections to the word line and bit line of a memory array. as discussed in the text. The gate connects to the word line.2 Individual flash-memory cell. (b) Reduction in electron charge in the channel due to the presence of electron charge on the floating gate.3 Illustrating how electronic charge on the floating gate affects the charge in the MOSFET channel. Word line Control gate Floating gate Oxide Tunnel oxide n + Source n + Drain ID p Body Bit line Figure 15. Control gate Floating gate Silicon n + + + + _ + _ + _ + _ + _ _ _ _ p (a) n n + + + + _ _ _ _ + + _ _ p (b) n Figure 15. (b) Single-MOSFET memory element. via the bit lines. (a) No net charge on the floating gate.1 Basic organization of a semiconductor memory. (a) Each memory element is xy-addressable. showing the two gates.274 15 Transistors for memories Data mux/demux Word lines decoder Address Bit lines (a) Memory cell (b) Figure 15. and can be written to. then the number of possible bits is n = log2 Imax +1 I . For example. then a variable VT would be obtained. depending on the amount of Q F present.1 Flash memory 275 of charge: Qn + Q F + QG = 0 . The read voltage is such that it is greater than the threshold voltage when Q F = 0.top is the thickness of the upper oxide. This leads to multi-level-cell operation and the option of increasing the number of stored bits per cell.top QG = − QF .1) where Q G is the charge density on the control gate. then the presence of charge on the floating gate puts the transistor in the OFF state. The ‘field-assistance’ comes from a reduction of the thickness of the tunnelling barrier at higher energies. and is the change in threshold voltage: VT = tox. 15. the storage of electrons on the floating gate is interpreted as the storage of a ZERO in the memory cell. The actual programming of a flash-memory cell is illustrated in Fig. Thus. Cox. Direct tunnelling of electrons to the floating gate from either the control gate or the body (substrate) is not possible because the oxides on either side of the gate W are too thick. 64 Gbit chips using 4bits/cell have been reported by SanDisk and Toshiba [1]. to maintain a given Q n in the presence of a change in floating-gate charge Q F . (b) produce an electric field in the thinner oxide that is sufficient to enable field-assisted tunnelling of the channel electrons to the floating gate (see Fig.3) Increasing the number of bits per cell is obviously desirable from a memory-density point of view. each cell carries one bit of information. The top-left band diagram shows the equilibrium condition when there is no charge stored on the floating gate. if Q F could be precisely controlled. but it places greater demands on the placement of charge and on its discernment.15. This is singlelevel-cell operation. so the act of reading the contents of the cell is non-destructive.top ox (15. and the storage of a ONE is recognized. The polysilicon floating gate has to be thick . In this case. This voltage has to be large enough to: (a) invert the semiconductor surface to create a source of electrons. Thus.. Presently (early 2009). after the two men who first identified it in 1928. If the read voltage is less than VT . The associated change in control-gate voltage follows from Gauss’s Law. if the maximum drain current. (15.2) where tox. and the minimum current change that can be detected by the sensing circuitry is I .4b).4.e. the change in control-gate charge density is simply − Q F . (15. In the procedure just described. in this case the FET is ON. different values of read voltage would be required to turn-on the FET. Note that. which occurs at Q F = 0. The word-line voltage used in addressing the cell is not sufficient to alter Q F . i. drain current is received at the bit line. is Imax . Writing occurs when a large bias VG S is applied to the control gate. the phenomenon is also known as Fowler-Nordheim tunnelling. 15. 15.4d). negative voltage VG S is applied to facilitate Fowler-Nordheim tunnelling of the stored electrons to the body (see Fig. 1 ‘Flash’ is attributed to Shoji Ariizumi.1 On the other hand. a large. This erasure process is fast. . who invented Flash Memory at Toshiba in 1984. E To erase the cell.4c). The control gate is assumed to be metal. As the data can be retained with no applied voltages to the cell (see Fig. the floating gate is n + -polysilicon. 15. the charge is trapped (see Fig. (a) Equilibrium.276 15 Transistors for memories EC EF Control gate poly FG (a) Equilibrium EV Silicon −qVGS W (b) Write −qVGS E (c) Store (d) Erase Figure 15. 15. (b) Writing a ZERO by tunnelling from the channel to the floating gate. and the body of the cell is p-silicon. this memory is non-volatile. a colleague of Fujio Masuoka. from which direct tunnelling is not probable. hence the name ‘flash’. enough for the injected electrons to lose energy by collisions and ‘fall into’ the potential well.4 Energy-band diagrams for a flash-memory call. storage is long-lasting because the electrons are trapped in a deep potential well. On removing the write voltage. (c) Storing a ZERO via charge on the floating gate.4c). (d) Erasing the ZERO by tunnelling from the floating gate to the channel. where VD D is the usual CMOS supply voltage. 15.5 Schematic of an individual DRAM cell. Schematically. showing the relevant capacitors (storage and bit-line).2 Dynamic Random Access Memory 277 Word line CB C st Plate Bit line Figure 15. The stored charge connects to the bit line via the inversion layer in the channel when the FET is turned ON. and the MOSFET acting as a pass-transistor. In the DRAM we now describe.2 Dynamic Random Access Memory Another type of semiconductor memory in which a single-transistor is employed as the memory cell is dynamic random access memory (DRAM). so its voltage may change in response to the new charged state of the bit-line capacitance C B . but is that of a parallel-plate capacitor. In this case. (15. Any voltage change is detected by a sensitive amplifier attached to the bit-line circuitry.5 is called the plate electrode of the capacitor. The bit line is floating during this part of the operation. and VB is the bit-line voltage after accessing the storage capacitor.5. Labelling the actual source potential as VS .15. no voltage change is indicative of a stored ONE. which is connected to the source and has a much larger capacitance than that of the source/body np-junction. to emphasize that in modern DRAMs the storage capacitance is not that of the source.4) where Vst = (VS − VD D /2) is the voltage across the storage capacitor. rather than C S . VB is the voltage on the pre-charged bit line. 15. the circuit is as shown in Fig. 15. the MOSFET acts as a switch between the bit line and a storage capacitor. . The terminal marked ♦ on Fig. and it is held at VD D /2. where we label the storage capacitor as Cst . whereas a slight decrease in bit-line voltage is associated with a stored ZERO. The charge stored on the capacitor determines the state (ONE or ZERO) of the cell. the basic charge-sharing equation is Cst Vst + C B VB = (Cst + C B )VB . it is not suprising that the DRAM cell has evolved over the years with the aim of keeping Cst high while simultaneously shrinking the cell size to increase memory density (see Fig. the bit-line is pre-charged to VD D /2 and left floating as the transistor is turned on. 15. the bit-line voltage is raised by internal. 13. This means that when the word line is enabled. Charge sharing results in the bit-line voltage changing to VB . These mechanisms are illustrated in Fig.5) Thus.4): VB = VD D 2 Cst + C B Cst + C B = VD D . . turning on the pass transistor. To read this ZERO. In view of the need to lower VB below VD D /2 to detect a ZERO. the cell had to be refreshed every few milliseconds or so in order to restore the stored ONE. Besides having to refresh after reading a ZERO. the source node rises to VD D . and their separation by the field therein. Thus. charge-pumping circuitry to (VD D + VT ). 15.6b). Vst → +VD D /2. the surface potential ψs exceeded the usual limit in MOSFETs of ≈2φ B because there were no contiguous n + regions to supply electrons and create an inversion layer at the silicon surface. the bit-line voltage is unchanged. thereby increasing C B . so the memory cell needs to be refreshed before the next read operation. Note that. which means that Vst → −VD D /2.4) is now VB = VD D 2 C B − Cst Cst + C B → < VD D . turning on the N-channel pass transistor. VB is set at 0. and the source node falls to 0. 2 (15. The challenge has been great because increasing the density means that the number of cells attached to a bit line increases. ψs would reduce to ≈2φ B due to the thermal generation of electron-hole pairs within the depletion region. the word line is enabled. In this condition. which from (15. To read this ONE. where VT is the threshold voltage of the FET.6) The smaller VB can be made the easier it is to distinguish between a ONE and a ZERO. Leakage via the source node principally involves: sub-threshold conduction. it is also necessary to periodically refresh the entire memory because of the inevitable leakage of charge from the storage capacitor. The large ψs was interpreted as the storage of a ONE. and this is interpreted as a ONE. The first DRAMs used an MOS capacitor as the storage element: a positive potential applied to the plate electrode (see Fig. on reading a ZERO.6a) pulsed the underlying silicon into deep depletion. gate-induced-drain-lowering and the reverse-bias current of the source/body diode. 2 (15. Charge sharing occurs.6). The problem of electron-hole pair generation was avoided in the next generation of DRAM cells by replacing the MOS storage capacitor with a conductor/oxide/conductor parallel-plate capacitor in which the bottom electrode was n + silicon (see Fig. To write a ZERO to the cell. the source potential is changed. Thus. Eventually. 15. and the new bit-line voltage VB follows from (15.14. This is the reason for this type of memory being called ‘dynamic’. again the bit-line is pre-charged to VD D /2 and left floating as the transistor is turned on.278 15 Transistors for memories When writing a ONE. 15.2 Dynamic Random Access Memory 279 B n+ W n+ p P B W P (a) MOS capacitor (4K) (b) Planar capacitor (64K − 1M) P B W P B W B W P (c) Trench capacitor (d) Stacked capacitor (e) Buried word line (1M − 1G) (4M −) (4G −) Figure 15.6 Illustrative example of the evolution of the storage capacitor within a DRAM cell. ‘P’ is the plate electrode, ‘W’ the word line, and ‘B’ the bit line. The numbers indicate typical maximum values or ranges of values for the number of bits stored in each embodiment. (a) Plate-oxide-p-silicon MOS capacitor. (b) Poly-oxide-n + -silicon capacitor in a planar arrangement. (c) Poly-oxide-poly capacitor formed in a trench within the silicon wafer. (d) Poly-oxide-poly capacitor stacked on top of the cell. (e) Buried word-line cell. Both of the above cells were ‘planar’, and this placed a limitation on how small the cell could be made. Therefore, to increase cell density, and yet maintain a high storage capacitance, the next generation of DRAM cells employed 3-D structures, with the storage capacitor being either buried in the substrate (Fig. 15.6c), or stacked above the transistor (Fig. 15.6d). Cells with these structures are still used today. The latest development in DRAM-cell structuring seeks to reduce the bit-line capacitance C B , rather than to increase Cst . In the embodiment shown in Fig. 15.6d, this is achieved by burying the pass transistor below the surface of the silicon, thereby physically distancing it from the bit line and, consequently, reducing the bit-line-toword-line capacitance, which has been one of the major contributions to C B . This structural arrangement is called ‘recess-channel array transistor’ (RCAT) by Samsung, and ‘buried word-line’ (BWL) by Qimonda [2]. The example shown in Fig. 15.6d is based on Qimonda’s design; note how the channel forms a U-shape around the word line, thereby reducing short-channel effects, which would otherwise be significant, given that the word line width is that of the technology node, e.g., presently (2009), 65 or 45 nm. 280 15 Transistors for memories Exercises 15.1 A floating-gate flash memory cell of the construction shown in Fig. 15.2 uses a word-line voltage of 1.5 V during the READ operation. The upper insulator is silicon dioxide and has a thickness of 20 nm. The threshold voltage when there is no charge stored on the floating gate is 1.0 V The area of the floating gate is . 2 (100 × 100 ) nm . How many electrons need to be stored on the floating gate to represent a ZERO? 15.2 The maximum current that can be delivered to the bit line by one cell of a floating-gate flash memory is 1.5 mA µm−1 . The cell width is 100 nm. If each cell is required to store 4 bits, what level of current discrimination must the bit-line sensing circuitry have? 15.3 Consider a stacked-capacitor DRAM with its storage capacitor of 1 pF charged to 1 V This represents a stored ONE. . The FET in the DRAM is a CMOS65 N-FET of width Z = 100 nm. If charge leakage from the storage capacitor is due to the sub-threshold current of the FET, how long will it take for the storage capacitor to lose 50% of its charge? 15.4 The bit-line sensing circuitry in the DRAM of the previous question can detect a voltage change of 10 mV and this is used to distinguish between a stored ONE , and a stored ZERO in a single cell. Compute the magnitude of the bit-line capacitance. References [1] C. Trinh et al., A 5.6MB/s 64Gb 4b/cell NAND Flash Memory in 43 nm CMOS, ISSCC Digest Tech. Papers, 246–248, 2009. [2] T. Schloesser et al., A 6F2 Buried Wordline DRAM Cell for 40 nm and Beyond, IEEE IEDM Tech. Digest, paper 33.4, 2008. 16 Transistors for high power Power amplifiers and switch-mode power supplies are two instances where the constituent transistors are required to deliver higher currents, and to withstand higher voltages, than are encountered in the digital, high-frequency and memory transistors discussed previously. In this chapter we briefly describe the structural details of, and discuss the principal properties of, several types of high-power transistor: the GaAs HBT and GaN HJFET for power amplification, and the Si MOSFET and hybrid transistor for power supplies. High currents mean high carrier densities, which can lead to a modification of the space-charge region at the output junction (collector/base or drain/body), with consequences for the frequency response and/or the breakdown voltage. We begin this chapter with a description of the breakdown process (avalanche breakdown), and of the high-current, space-charge-modifying effect (the Kirk Effect). 16.1 Avalanche breakdown Operating transistors at high VC E or high VDS can lead to electrical breakdown of the collector/base or drain/body junction, respectively. Breakdown is characterized by the sudden onset of a large current which, if it is not interrupted, can lead to thermal destruction of the transistor. The breakdown process in a reverse-biased pn-junction is illustrated in Fig. 16.1. Electrons entering the high field of the junction rapidly gain kinetic energy. If this energy is allowed to exceed the bandgap energy E g , then, when the electron finally collides with a lattice atom, an energy E ≥ E g can be transferred to another electron, thereby exciting it into the conduction band. Thus, one electron creates another electron (and a hole). This process is the generation-equivalent of Auger recombination. The newly generated electron and hole are, in turn, accelerated by the junction field, leading to the possibility of creation of more electron-hole pairs, and a rapidly increasing current. For obvious reasons this phenomenon is called avalanche breakdown. The value of the electric field at which the avalanche is initiated is called the breakdown field strength Ebr ; as is to be expected, perhaps, there is some correlation between Ebr and E g (see Table 16.1). The Table lists several semiconductor properties that are important to power transistors: κ L is the thermal conductivity; JFOM is Johnson’s figure-of-merit [2], which is intended to characterize devices for applications in which 282 16 Transistors for high power Table 16.1 Important, power-related, properties of various semiconducting materials. κ L is the thermal conductivity; JFOM is Johnson’s figure-of-merit (see text), which is normalized to that of Si in this Table. Adapted from DiSanto [1], courtesy of David DiSanto, ex-SFU. Eg (eV) 1.12 1.35 1.43 3.26 3.39 5.45 Ebr (MV cm−1 ) 0.3 0.6 0.4 3.5 2.0 10.0 vsat (107 cm s−1 ) 1.0 1.5 1.0 2.5 2.5 2.7 κL W (cm.K)−1 1.5 0.7 0.5 5.0 1.5 20 JFOM (Ebr vsat )/Si 1 3 2 29 17 90 Material Si InP GaAs SiC GaN Diamond EC EFp qV br EFn EV Figure 16.1 Energy-band diagram for large reverse bias across a pn-junction, showing avalanche multiplication of both electrons and holes, following collisions with atoms at the sites marked X . operation at both high power and high frequency is required. The large-bandgap semiconductor diamond comes out very well in both of the above categories, and the making of transistors from it is presently the subject of much research interest. In a pn-junction, the maximum electric field occurs at the interface between the two, differently doped regions. The left panel of Fig. 16.2 shows the case of an abrupt junction with uniform doping on each side of the junction, and under low-current conditions. Note that the n-side is less heavily doped than the p-side. The area under the E-x curve is the total voltage drop across the junction: Vbi + Va = 1 Ebr W , 2 (16.1) 16.1 Avalanche breakdown 283 qNsubC (a) qNepi 0 0 qN base Charge density x 0 (qNepi 0 − JC /vsat) x (b) 0 0 Field x 0 0 x (c) −xdp 0 q(Vbi + Va ) 0 Wepi x Potential −xdp 0 x 0 W W epi subC JC << qNepi vsat JC > qNepi vsat = JK Figure 16.2 Variation across a pn − n + structure with two abrupt junctions of: (a) charge density, (b) electric field, (c) electrostatic potential. The set of figures on the left is for the case of a current density that is low enough for the space-charge due to the mobile electrons to be negligible, and for the n − layer (labelled ‘epi’) to be just fully depleted. The set on the right is for the case when this mobile charge is sufficient to reduce the electric field at the pn − interface to zero. The depletion region spreads into the n + layer, the sub-collector. The applied bias is the same in both sets of figures. where W is the width of the space-charge region at the junction, and Va is the applied, reverse-bias voltage (assumed to be dropped entirely across the space-charge region), and Ebr is identified with E(0). Invoking the Depletion Approximation, we use (6.22) Vbi , a useful expression for the to estimate W , and simplifying by assuming |Va | breakdown voltage results: 2 Vbr = Ebr 2q 1 1 + ND NA . (16.2) This equation emphasizes the importance of employing a semiconductor with a high breakdown field strength. Also, if other factors dictate the use of a semiconductor with a relatively low Ebr , such as Si, then the equation shows the necessity of using a low doping density for at least one side of the junction. So as not to compromise the principal transport-determining region of the transistor (the base or channel), it is the collector (drain) in which the doping is reduced. 284 16 Transistors for high power 16.2 The Kirk Effect Consider a structure in which a highly doped n-region has been added to the right-hand side of our pn-junction (see the right panel of Fig. 16.2). This pn − n + arrangement arises at the base/collector junction in Npn-HBTs, and in the body/drain region of lateral-diffused MOSFETs. The lightly doped region is usually deposited by vapourphase epitaxy, and is referred to as the epi-layer. Generally, we are interested in the electric-field profile in and around the epi-layer, and how it changes in response to an increasing electron current. Analytically, it is easier to treat the HBT case as the situation is essentially, one-dimensional. Thus, let us consider an HBT in the forward-active mode of operation with electrons being injected from the emitter, and passing through the ptype base into the lightly n-doped epi-layer, before being collected in the heavily n-doped sub-collector. Neglecting the holes in the epi-layer, Poisson’s Equation in 1-D is JC 1 dE q Nepi − , = dx vsat s (16.3) where we assume that the electrons are moving in the epi-layer at their saturation velocity. For low collector current density JC the field gradient is positive, as shown in the leftside of Fig. 16.2b for x > 0. At JC ≡ Jcrit = q Nepi vsat the field becomes constant. At higher JC the field gradient in the epi-layer becomes negative, as shown in the right-side of Fig. 16.2b for x > 0. What is happening, of course, is that the positive space charge of the donor ions in the epi-layer is being swamped by the negative space charge of the electrons carrying the current. Eventually, a current density is reached at which the field goes to zero at the base/epi-layer boundary. This means that there is then no field to prevent holes from the base moving into the epi-layer! The current density at which the field disappears at the p/epi junction is known as the Kirk current JK . An expression for JK is easily derived (see Exercise 16.3), but it should be clear that the onset of the Kirk Effect can be delayed by using a semiconductor for which vsat is high, and by choosing a high doping density for the epi-layer. Of course, to avoid the effect altogether, the epi-layer thickness could be reduced to zero, but then the breakdown issue comes to the fore. The implications of operating at or above JK for HBTs and lateral diffused MOSFETs are discussed in Section 16.3.1 and Section 16.4.1, respectively. 16.3 Transistors for power amplifiers The modern craving for wireless electronics has led to the development of transistors suited to the provision of high power at high frequencies. Here, we consider briefly two types of transistor that meet these specifications: InGaP/GaAs HBTs, and AlGaN/GaN HJFETs. The former, operating at modest voltages and power, are used in the transmitter stage of cell phones, and are also candidates for the final stages of power amplifiers in radio base-stations, where operation is at tens of volts and hundreds of watts. GaN-based 16.3 Transistors for power amplifiers 285 E Emitter n+ InGaP E Emitter cap n+ GaAs B Base B p+ GaAs B Collector n–GaAs C Sub–collector n+ GaAs C Figure 16.3 A power-HBT cell. The dashed lines delineate one cell, which can be replicated to place more transistors in parallel, thereby increasing the current-handling capability. HJFETs are also being considered for the latter application, as well as for implementation in situations where the high bandgap of the material gives this transistor an advantage for operation in harsh environments, e.g., in automobiles and war zones. 16.3.1 GaAs HBTs The essential elements of a high-power, high-frequency HBT are illustrated in Fig. 16.3. They are: semiconducting materials chosen for their high mobility; interdigitated emitter and base contacts to enable a large current via the large total emitter area whilst simultaneously reducing the base-access- and base-spreading-resistances; a thick, lightly doped collector to ensure a high breakdown voltage. In the embodiment shown, the splitting of the base current between three contacts reduces the power dissipation in the base spreading resistance to 1/12 of its value in the single-base case (see Exercise 16.4). The tall emitter helps to separate the emitter and base metallizations, and the thickening of the emitter towards its top helps reduce the parasitic emitter resistance. Fig. 16.3 forms a ‘power cell’, many of which can be connected in parallel to increase the output current. By opting to make the collector thick and lightly doped, the design gives precedence to attainment of a high Vbr over a high JK . Recall that at JC = JK there is no field at the base/collector junction, i.e., there is no potential barrier to constrain the holes to the base. Therefore, on further increasing JC , holes flood into the epi-layer, effectively extending the width of the quasi-neutral base region (the p-region in Fig. 16.2). This increases the 4 Predictions from ATLAS of f T for an AlGaAs/GaAs HBT using the four transport models described in the text. the overall effect is to increase τ EC (see (14. [3]. Prior to the onset of the Kirk Effect. and associated doping densities of 5 × 1017 .4) where γ is the junction ideality factor. reproduced with permission. and collector thicknesses of 150. The data here. At emitter current densities that are high enough for recombination in the emitter/base space-charge region to be neglected.6). γ = 1. (6.12) and (14.4. C 1995 IEEE. and in the next three figures.29) becomes n(xdp ) p p0 = n i2 expVa /Vth → n(xdp ) = n i expVa /2Vth . as illustrated in Fig. The associated reduction in the width of the base/collector spacecharge region may improve the collector signal delay time τC . 100 and 500 nm. base transit time τ B . 1019 and 1017 cm−3 . but this is countered by the increase in the collector charging time τCC .5) . gm ≈ ∂ IC IC = . and this leads to a decrease in f T at high currents.2.286 16 Transistors for high power 50 EB NEB 40 Cut–off frequency (GHz) DD 30 NDD 20 10 0 10−6 10−5 10−4 10−3 Collector current (A µm−1) Figure 16. at least while low-level injection conditions apply. The device is an AlGaAs/GaAs HBT with emitter. are from numerical simulations using Silvaco’s ATLAS. let us assume that the injected minority carrier concentration at the edge of the depletion region in the base of an Npn transistor is such that n(xdp ) = p p0 . respectively. ∂ VB E γ (IC )Vth (16. In power HBTs.17)). As an example of high-level injection conditions. base. 16. as we considered in Section 9. This can be appreciated from (9. f T increases with current due to the improvement in transconductance. this condition can be violated. From Apanovich et al. (16. Thus. Under this condition. (V) Figure 16. so this means that there will be large gradients in the concentrations of both carriers.25). This figure also shows the effect of different transport models on the predictions for the collector and base currents.3 Transistors for power amplifiers 287 10 −2 10 −3 NEB DD 10 −4 EB NDD Current (A µm −1) 10 −5 10 −6 10 −7 IC VCE = 2 V 10 −8 IB 10 −9 1. the effect is clearly seen in Fig.4. 16.5 Base–emitter voltage.16. r NDD . 16.5) leads to an ideality factor of γ = 2 in (16. C 1995 IEEE.5.6. The four models referred to in this figure.24) with Te = Th = TL . This is the same as DD but with the lattice temperature allowed to vary with position. From Apanovich et al. 16. both electrons and holes will diffuse. with an effectively higher diffusivity. The importance of all this for our discussion is that a diffusive electron current with the boundary condition of (16. The increase in minority carrier concentration is matched by a corresponding increase in majority carrier concentration in order to maintain charge neutrality.7 are: r DD . As holes and electrons diffuse at different rates. an electric field is set up that retards the diffusion of the faster carrier.1 1.45 1. the condition is known as ambipolar diffusion. and in Fig. This model uses Poisson’s equation.15 1. Fig.Non-isothermal Drift Diffusion.35 1. This is known as the Webster Effect. The altering of the conductivity by changing the carrier concentrations is known as conductivity modulation.Drift Diffusion. The situation is clearly quite complicated. 16. Heat flows out of the device via one of the contacts. and heat balance is accounted for by (5. 16. Thus. At the collector-end of the base the minority carriers are extracted. reproduced with permission.3 1. and the first four equations from (5.5 Predictions from ATLAS of the collector and base currents for the same HBT and models as used in Fig. .4). and Fig. and another contribution to the reduction in f T at high currents. and ∇TL = 0. [3].4 1.4. An increase in γ brings about a decrease in current for a given bias.2 1.25 1. but it transpires that diffusion dominates. 5.5 Base–emitter voltage (V) Figure 16. This is the same as EB. leading to a further increase in current at high bias. The increased velocity also increases the current. The prediction of a higher current by the non-isothermal models may seem like a good thing. The carrier temperatures are allowed to be functions of position. . This increases the minority-carrier concentrations (see (4.4 clearly shows. The ‘current droop’ that is evident is a consequence of the device heating up.19) and (4. 16.7 shows. this is not necessarily so.2 1. 16. 16.25 1. This model uses Poisson’s equation and the set of equations (5.3 1.Energy Balance.6 Predictions from ATLAS of the maximum lattice temperature for the same HBT and models as used in Fig. 16. The non-isothermal models predict a significant rise in lattice temperature (see Fig. From Apanovich et al. However. thereby improving f T .22). This phenomenon of velocity overshoot reduces the signal delay time in the collector space-charge region. and it is this that leads to the undesirable reduction in collector current. This is because electrons injected into the high field region of the reversebiased base/collector space-charge region are accelerated to velocities above vsat before thermalizing collisions occur. r EB . as Fig. reproduced with permission. r NEB .15 1. The inclusion of energy balance leads to prediction of an improved f T .288 16 Transistors for high power 440 Maximum lattice temperature (K) 420 NEB 400 380 360 VCE = 2 V NDD 340 320 300 1.35 1.Non-isothermal Energy Balance.4.20)). the base/emitter voltage has to be reduced.1 1. as evinced by comparison of the DD and EB models in Fig. so there is a contribution to the current from any gradient in kinetic energy.6).45 1. However. Note that the parameter held constant in this figure is the base current. the lattice temperature is held constant. C 1995 IEEE. [3].4 1. To achieve this as the temperature rises. as Fig. but the lattice temperature is allowed to vary with position. 16. are the breakdown field strength and the thermal conductivity. 16.8.0006 DD NDD 0. As we explain below. The gate electrode extends over the passivating layer towards the drain.0014 0.2 1.16. C 1995 IEEE.3 Transistors for power amplifiers 289 0.7 Predictions from ATLAS of the collector-current characteristic for the same HBT and models as used in Fig.001 0. The high electron saturation velocity is also advantageous for high-frequency applications. but the operating voltages can be high (28 V for base-station applications. hence the use of a passivation layer such as silicon nitride.0008 0. [3]. 16. reproduced with permission.0002 0 0 0. 16. two properties of the material that are superior to GaAs.2 GaN HJFETs High-power HJFETs based on GaN are relative newcomers to the power-transistor scene. it predicts currents due to kinetic energy changes which cannot be accounted for in the usual drift and diffusion currents.6 0. at least in devices where the field is high enough for this velocity to be attained. The results displayed in the previous four figures show the importance of including energy balance and lattice heating in the modelling of high-power bipolar transistors.3. i. A typical GaN HJFET is shown in Fig.0012 0.0018 EB 0.4. With reference to Table 16.2 0. This serves to reduce the field in the .6 1. an AlGaN surface is electrically active. The gate length and the separations between gate and source/drain electrodes are about 100–500 nm.8 1 1. the material on which rival high-power HBTs are based. From Apanovich et al.0016 NEB Collector current (A µm−1) 0.0004 0.8 2 Collector–emitter voltage (V) Figure 16. for example) so velocity saturation is likely..e. as these are determined by the local electric field via a field-dependent mobility µ(E) and the Einstein Relation.4 1. The former allows for non-local transport. forming a field plate. Lattice heating is particularly important in bipolar transistors because of the exponential dependence of minority-carrier concentrations on temperature.1.4 0. one choice of substrate is SiC. The situation for AlGaN on Ga-face GaN is illustrated in Fig.. The atoms are arranged in two. Instead.7) . 16. (16. At the interface between the two materials the surface polarization density is σint = ( PSp. The latter takes the form of a 2-D electron gas at the AlGaN/GaN interface. on which high-quality GaN and AlGaN layers might be grown. so this structure is known as ‘Ga-face’ GaN. which has excellent thermal conductivity (see Table 16.3189 − 0. growth is from the {0001} basal plane in the [0001] direction. (16. The Ga-N bond is strongly ionic. the material is spontaneously polarized. 16. This drawback can be mitigated somewhat by recessing the gate. thereby increasing the current-handling capability. the electron probability density is greater in the vicinity of the N atom. thereby improving the breakdown voltage. closely packed bilayers.2 ) · nˆ2 + PSp.10.1). which crystallize in the wurtzite structure. AlGaN grown pseudomorphically on GaN is under tensile strain. as shown in Fig. The surface polarization charge densities are negative on the top of the AlGaN (region 2) and positive on the bottom of the GaN (region 1).8. and it is positive for the case under consideration. repeating. 16. single-crystal GaN wafers. The benefit comes from increasing gm through the closer coupling of the gate to the channel. with the polarization vector PSp pointing from N to Ga. towards the substrate in this example. However. Thus.1 · nˆ1 .2 + PP z.290 16 Transistors for high power S G SiN undoped AlGaN undoped GaN nucleation layer semi–insulating SiC D Figure 16.8 AlGaN/GaN power HJFET. are not available. each layer of which is an hexagonal arrangement of either Ga ions or N ions.e. which is known as the c-axis (see Fig. For the ternary material Alx Ga1−x N. the top layer in each bilayer is gallium. which can be replicated to place more transistors in parallel. which is not desirable for high-frequency performance.6) Therefore. the field plate adds capacitance to the device.9).0077x) nm . channel at the edge of the gate. A buffer layer of GaN is deposited before commencement of epitaxial growth of the actual layers of the device. In the example shown. and because the electronegativity of N is higher than that of Ga. i. Presently. the lattice constant is given by a = (0. Typically. The dashed lines delineate one cell. This adds a piezoelectric polarization PP z to the spontaneous polarization that is inherent to the material. electrons are drawn to the interface. F. perhaps during the period of cooling after the growth of the layers. J.16. Stutzmann. 3222 (1999) [4]. they are fixed in space. L. Hilsenbeck. for films grown on Ga-face GaN.9 Wurtzite crystal structure showing the bilayers of N and Ga arising from growth on a Ga-face substrate.10 Polarization in GaN and strained AlGaN. R. Rieger and J.. Shealy. N. Thus. J. J. G. R. and the resulting charge density at the interfacial layer. Schaff. Eastman. American Institute of Physics. Smart. it is possible to create a sheet of electrons at the interface without doping either of the layers! This sheet of charge . 85. In the case under consideration. W. Wittmer. _ growth c-axis P sp _ AlGaN P pz +_ + P sp sint GaN + Figure 16. W. Journal of Applied Physics. or from the ohmic contacts in the subsequently fabricated HJFET. rather like donor or acceptor ions. Copyright 1999. Ambacher. Weimann. Dimitrov. M. Reused with permission from O. K. Murphy.3 Transistors for power amplifiers 291 Ga-face Ga N [0001] Substrate Figure 16. i. Chu. The sheet polarization charges are bound charges. L.e. M. allied with the previously discussed attributes of high electron velocity and high thermal conductivity. the transistor needs to pass a large current (recall that the energy stored in an inductor is ∝ L I 2 ). When the switch is closed..87x eV . Additionally. (a) Basic circuit for the transformation of a DC input voltage to a higher DC output voltage.1 − 1.e. transistors are used for the switch. 16. the fractional time that the switch is closed during one cycle of the control signal.11 Switched power supply.11a shows a very rudimentary example of the use of a switch in a circuit that can transform DC voltages. 16.15. the large bandgap and breakdown voltage allow operation at typical base-station voltage levels of 28 V This means that additional voltage-conversion circuitry is unneccesary. As this current is derived from the input voltage source. which is small enough for the lattice-constant mismatch to be acceptable. This very large value gives AlGaN/GaN HJFETs a high current-carrying capability. (16. When the switch is open. is χ (x) = 4. .292 16 Transistors for high power ID ON OFF VIN VOUT switching Control (a) ON voltage forward blocking voltage (b) Vbr VDS Figure 16. Basically. it yields a barrier of about 0. (b) The switching cycle. Naturally. This resistance should also be low to avoid excessive power dissipation within the transistor. The relation. and when the switch is opened. which charges up to a voltage that depends on the duty cycle. this energy is transferred to the capacitor. is actually a two-dimensional gas because the electrons are confined in a potential notch. i.4 Transistors for high-voltage power supplies Fig. one side of which is due to the electon-affinity mismatch between the two materials.28 eV . The spontaneous and piezoelectric polarizations in AlGaN are so large that the surface concentration of electrons n s at the interface can be around 1013 cm−2 . then it follows that the ONresistance of the transistor must be low. when the switch is closed energy is stored in the inductor.8) A typical value is x = 0. in terms of the mole fraction x for Al. the transistor . combine to produce a transistor with considerable merit for power amplifiers operating at high frequencies. These factors. which can be used for the control circuitry. bipolar transistors (BTs) are current-controlled devices. and these two regions are formed in a sequential diffusion process.4 Transistors for high-voltage power supplies 293 G S n+ p well J1 n epi ydn J2 p body Figure 16. in 2009. which simplifies the control circuitry. . in the 1960s.1 Si L-DMOSFETs The basic lateral-diffused MOSFET is illustrated in Fig. Being able to switch quickly between these two states is obviously advantageous. The source is embedded in a p-well. For very high power situations the insulated-gate BT is used. hence the word ‘diffused’ in the transistor title. the Si BJT was the most developed transistor and it was employed for the switching transistor in power supplies. The lateral arrangement greatly facilitates the integration of the power transistor with standard CMOS FETs. this is not conducive to fast switching. it is used in most high-speed. Both these transistors are described below. and a lightly doped drain extension in the form of an n − -epi layer grown on the p-body. which allows the three terminal contacts to be placed on the top of the structure.16. ‘Lateral’ comes from the lateral layout of the device. 16. to get the required low ON-resistance. However.12. for RESURF operation its thickness ydn equals the thickness of the epi-layer. Therefore.2. D n+ must be able to withstand a voltage at least equal to that of the output voltage. The rating that specifies this property is the forward blocking voltage. and are unipolar. 16.11b. The two states of the transistor are illustrated in Fig.4. 16. Also. Originally. BTs must be operated in the saturation mode. This is the major difference from the earlier vertically oriented device. and this leads to complexity in the pulse-widthmodulated control circuitry used to adjust the duty cycle. The drain consists of the usual n + -contact region. The junction between the p-well and the epi-layer forms a pn-diode (J1 on the figure). Today. The junction between the epi-layer and the p-body forms a second diode (J2 in the figure). The dashed line marks the edge of the space-charge layer at the p-body/n-epi junction. in the form of a lateral-diffused device. with the advent of high-current-handling Si MOSFETs in the 1970s.12 High-power laterally diffused (LD) Si MOSFET. medium-power applications. as we saw in Section 13. MOSFETs are voltage-controlled devices. the V-DMOSFET. this transistor gradually became the dominant transistor in switch-mode power supplies. so switching times are not determined by slow recombination processes. It can be appreciated that the L-DMOSFET poses an interesting design problem involving the choice of epi-layer thickness and doping.1 = Ebr 1 . This desirable state of affairs increases the forward blocking voltage. and this becomes the determinator of the breakdown voltage. However.13.2 Lateral insulated-gate bipolar transistor As its name suggests. a lateral version of which is described in the following section. the insulated-gate bipolar transistor (IGBT) is a hybrid device.2). ydn = 2 s Nbody V . 16. you might imagine. By making Nbody < Nepi . the reduced surface field (RESURF) effect [5].2 can exceed Vbr. The additional pn-junction is labelled J3. then the device of choice is the insulated-gate bipolar transistor. 16. the space charge region extends into the n-epi layer by a distance ydn .9) The desirability of a low value for Nepi is mitigated by the need to reduce the ONresistance. it turns out that a thin epi-layer is advantageous because of an interesting 2-D effect. 16. At the diode junction J2. which is determined to a large extent in this device by the lateral resistance of the epi-layer. which can be estimated from applying the Depletion Approximation. The latter is the greater because of the need to counter-dope the epitaxial layer. with the relevant doping densities being Nepi and Np−well .12. the depletion region from J2 reaches through to the surface of the FET and augments the depletion region surrounding J1.4. effectively extending it in the x-direction. and if the current is high enough. .2).294 16 Transistors for high power The breakdown voltage of J1 is given by (16. q Nepi (Nepi + Nbody ) (16. the voltage drop across J1 is spread over a longer region.10) where V = Vbi. If very high operating currents and voltages are required. The basic idea is to choose the thickness of the epi-layer to be less than ydn at the desired forward blocking voltage. 16. Thus.12 at the drain-end of the device: the n + region is replaced by a p + region within an n-type buffer zone. However. would be to use a thick epi-layer. then Vbr. This then shifts the region of likely breakdown to junction J2.J 2 + VDS . In this way. In the L-DMOSFET the point of highest field moves to the n + n − junction between the drain and epi-layer regions.1 . and this has the effect of reducing the electric field Ex below Ebr . note that there is a parasitic n + pn − BJT formed by the source/body/epi regions. One solution. It combines the high output-current capability of a BJT with the high input-impedanceand voltage-control-attributes of a FET. the Kirk Effect will come into play and affect the voltage distribution in the structure (see Fig. 2q Nepi (16. and the interplay between blocking voltage and operating current. 16. The structure differs from that of the L-DMOSFET in Fig. With reference to Fig. A lateral version of the insulated-gate bipolar transistor (LIGBT) is shown in Fig. so the breakdown voltage of J1 can be approximated as 2 Vbr. and this establishes a terminal contact (K) to the base epi-layer. . The bipolar part of the device is traced on Fig.4 Transistors for high-voltage power supplies 295 G K. showing the biolar transistor with its base connected to the cathode K only when the MOSFET is ON. The gate-source voltage VG K determines the state (ON or OFF) of the device. where yet another name for the source/cathode has been used. 16. into which electrons are injected. which provides the LIGBT with its forward blocking voltage. 16.13. additionally. When VG K < VT . A forward bias on the IGBT (V AK > 0) results in the reverse biasing of junction J1. the turn-on voltage of junction J3 has to be reached. large hole conduction is modulated by a much smaller electron conduction.15. This leads to a larger current.14.. then the p + n buffer junction J3 becomes forward biased. Specific characteristics are shown in Fig. when V AK < 0 in the OFF state. Thus. The p + n buffer junction J3 provides the IGBT with its reverse blocking voltage. The general characteristics are shown in Fig. namely. Note that. albeit with the MOS-derived voltage VG K being the control voltage. punch-through of the epi-layer. i. an inversion layer forms under the gate.16. but is prevented from reaching the p + region under the anode by the n-buffer layer. before conductivity modulation can occur. The IGBT turns on when VG K > VT . In other words. the familiar BT collector characteristic unfolds. rather than the usual pn-junction-derived voltage VB E . with the base being the n-epi layer.13 Lateral IGBT. and to a smaller ON-resistance. If. the emitter. This is another example of conductivity modulation. now in its role as the base. and holes are injected into the base. D J1 n epi J3 n p+ There are name changes too: the drain contact becomes the anode (A). and can lead to a situation where the injected hole density exceeds the background doping density of the n-epi layer.e. This hole current is collected by the cathode. which is now the drain of the MOSFET. In punch-through there is no quasi-neutral base region to limit the current. S n+ p well J2 p body Figure 16. it is a p + npwell BJT. there is no inversion layer connecting the n + -source to the n-epi region. than is possible in the L-DMOSFET. 16. Thereafter. the threshold voltage of the MOSFET. where the current is due to the drift of majority carriers. so the blocking condition would be lost. V AK > 0. A. The depletion region in the epi-layer extends towards the anode. is averted. and the source contact becomes the cathode (K). The cathode doubles as the contact to the collector and to the source of the N-MOSFET. 15 Collector characteristic for Toshiba MG75J1ZS50 IGBT. IC – VCE 160 20V COLLECTOR CURRENT IC (A) 120 15V 13V 80 12V COMMON EMITTER 40 VGE = 10V 0 0 2 4 6 8 10 Tc = 25°C COLLECTOR-EMITTER VOLTAGE VCE (V) Figure 16. Exercises 16. This arrangement gives a high breakdown voltage and a low series resistance. The remainder of the base has a doping density of 1017 cm−3 . They are not fast-switching devices. though.1 A silicon n + p − p power diode has an emitter with a donor doping density of 1020 cm−3 . and of withstanding 100’s of volts in the OFF state. on account of the substantial minority carrier storage that occurs in the long n-epi base region. .14 General collector characteristic for an IGBT. The weakly doped region of the two-part p-type base is 2 µm long and has a doping density of 1016 cm−3 . Si IGBTs are capable of supplying 100’s of amps and watts in the ON state.296 16 Transistors for high power IK VGK > VT Vbr reverse VGK < VT VAK turn–on of J3 Vbr forward Figure 16. The sheet resistance of the epi-layer is to be 2 × 103 /square. Table 1-1. 16. (a) Show that the lightly doped part of the base is completely depleted. 890–898. Johnson. 16. 26. A.5 Consider an Al0. Tcherniaev.7 N/GaN HJFET. Also.O.6 A Si L-DMOSFET has a body doping density of 5 × 1016 cm−3 . 16. 1965 [3] Y. Note: an analytical solution can be easily obtained if the assumption of Nbody < Nepi is made in (16. Polsky. and the junction capacitance is measured to be 3.4 Fig. Lyumkis. Show that this arrangement leads to a 12-fold reduction in the power dissipation associated with the base-spreading resistance. calculate the thickness and doping density of the epi-layer.12 can be assumed to have penetrated through the entire epi-layer thickness. IEEE Trans. the base current is split between three base contacts that are interdigitated with the emitter fingers. References [1] D. If the doping densities remained the same but the semiconductor were changed to GaN.3 shows a power HBT in which the emitter is divided into two fingers.11) 16. Apanovich.. Aluminum Gallium Nitride/Gallium Nitride High Electron Mobility Transistor Fabrication and Characterization.10). in Fig.References 297 A reverse bias of 40 V is applied to the diode. vol. Using these specifications. 16. (b) Calculate the maximum electric field in the device. what would the breakdown voltage be? 16.48 × 10−5 F m−2 . P. and can be taken to exist at the normal ON voltage. Physical Limitations on Frequency and Power Parameters of Transistors. 16. DiSanto. Ph. B.3) to show that the current density at which the field disappears at the p/epi interface in a pn − n + junction is JK = q 2 s (Va + Vbi ) + Nepi vsat .D. . Thesis. 42. RCA Review. [2] E. vol. Shur and A. R. Cottle.2 The breakdown voltage of a particular GaAs pn junction is 3 V . 1995. at which ydn can be taken to be zero. 2 qWepi (16. Using this data. Numerical Simulation of submicrometer Devices Including Coupled Nonlocal Transport and Nonisothermal Effects. 2005. Data on the polarization properties of this material system are given in Appendix B. as compared to an HBT with a single emitter of width h and a single base contact.7 × 1012 cm−2 .3 Integrate (16. show that if 10% of the polarization charges at the interface between the two materials attract electrons. then the electron sheet density would be ≈1. 163–177.3 Ga0. each of lateral width h/2. The body/epi junction is required to withstand a voltage of 100 V under which conditions ydn . E. Simon Fraser University. Blakey. Electron Dev. A Review of RESURF Technology. L. Schaff. Weimann.G. W. J.298 16 Transistors for high power [4] O. Appl. Phys. 2000. Ambacher. K. 12th IEEE Int. M.J. W. M. Wittmer. Ludikhuize. Hilsenbeck. 3222–3233. Two-dimensional Electron Gases Induced by Spontaneous and Piezoelectric Polarization Charges in N. pp.and Ga-face AlGaN/GaN Heterostructures. Shealy. [5] A. 11–18. L. J. Dimitrov. J.R. Murphy. Stutzmann. Chu. vol. Symp. 1999. Eastman. .. R. 85. Rieger and J. Proc.F. Power Semiconductor Devices and ICs. N. Smart. 1 Noise: general properties We are interested in how noise can originate in the inherent properties of a transistor. mixers and multipliers for point-to-point and point-to-multi-point radio. This chapter gives a very brief overview of the main sources of noise in transistors: thermal noise. Further. R R (17. the noise figure NF. one often 2 2 talks of a noise power proportional to vn or i n . one is usually interested in the . is then introduced. often with a time-averaged value vn (t) ≈ 0. low-noise amplifiers for satellite communications systems. so noise is usually described in terms of a root-mean-square (rms) value 1 T T 0 2 vn = T →∞ lim vn (t)2 dt . multi-port phase/frequency discriminators for automobile collision-avoidance radar. 17. rather than arise due to interference from other sources. Recall that the rms formalism is used in relation to power: Paverage = 1 T T 0 v(t)2 v2 dt ≡ rms .17 Transistors for low noise In this modern age of increasing wireless communications there are many applications requiring transistors that have low inherent noise. It becomes apparent that the HJFET has superior low-noise performance at high frequencies. so this transistor is then used to show how a transistor’s noise sources are incorporated into its smallsignal equivalent circuit. A metric for noise performance.. How each of these noise sources is manifest in the various types of transistor discussed in this book is mentioned. in noise considerations.1) where T is the time period of observation..g.2) i. shot noise. provided vrms = VDC . and can operate at very high frequencies. an rms AC voltage and a DC voltage would lead to the same power dissipation in a resistor of resistance R. Inherent noise is random in nature. and flicker noise. Thus.e. (17. e. in equilibrium. Thus. a parallel combination of noise-current source and noiseless resistor could be used. the necessary condition of no net current is satisfied by the cancellation of many. As a yardstick.300 17 Transistors for low noise signal-to-noise ratio.6) Therefore. (17.g. f (17. a signal power of 1 µW is −30 dBm. the spectral power density is S= √ 2 vn .5) (17. Whereas a domestic power supply delivers power at 50 or 60 Hz. e. These currents are manifest as noise in any resistive element. and in the channel resistance of a FET. and.2. It is heard as ‘audio hiss’. move randomly with Brownian motion and a mean thermal speed given by (4. to represent a noisy resistor in an equivalent circuit. noise power (17. with the current source being specified by 4k B T f . a power level is referred to 1 mW. e. in the contact resistances of any transistor.7) R Note that thermal noise has a flat frequency response. randomly directed currents.. The spectral power density of thermal or Johnson noise is Sth = 4k B T R . hence its popular name of ‘white noise’. noiseless resistor R in series with e √ a voltage source of magnitude 4k B T R f . in the base resistance of an HBT. power over a wide frequency range. note that a 50 resistor generates a noise of ≈1 nV over a bandwidth of 1 Hz.1 Noise inherent to transistors Thermal noise Electrons in a semiconductor are thermally agitated. one can replace the real resistor R with the Th´ venin equivalent of an ideal. The mean-square value of this voltage was found to be proportional to the resistance and to the temperature. If one measures the noise power over a bandwidth f . random noise signals have. 17.2 17. under equilibrium conditions. tiny. and is expressed in volts per root Hertz.4) S is the root spectral density. or can have.29). A theoretical basis for this result from thermodynamical considerations was provided by Nyquist in the same year [1. Johnson determined experimentally that a voltage appeared across an opencircuited resistor. and seen as ‘TV snow’. which is customarily expressed in decibels S N R = 10 log10 signal power . Chapter 5].. 2 i th = .g. (17. and the corresponding mean-square noise voltage is 2 vth = 4k B T R f . In 1928.3) Often. Alternatively. for example. carrier confinement away from the heterointerface. are discrete and independent. It is due to the fact that the charges. the flow is not continuous on a microscopic scale.17. Chapter 2] 2 i shot = 2q I DC f.2. whose flow determines the current. the injection of each electron from the hemi-Maxwellian distribution on the n-side of the junction into the p-side is an independent and random event. which contributes greatly to its exceptional noise performance. For shot noise to be evident. using an n-type semiconductor as an example. σA (17. a noise of ≈18 pA is generated over a bandwidth of 1 Hz. 11.e. Under forward bias. and HJFETs that utilize III-V compound semiconductors (see Fig.9) Immediately.10) Evidently. This variation about the mean value would be the shot noise. one can appreciate the importance of employing high-mobility material for transistors intended for low-noise operation. i. the resistance of a block-shaped object of length L and cross-sectional area A is R= L . the conductivity σ due to the majority carrier electrons is (5. carrier motion restricted to two dimensions) give this transistor the capability of attaining the highest mobility of all.. (17. If you could hear it.8) where. If one could observe the net number of electrons crossing a particular plane in a conductor in a particular direction during a specified time interval. Competition comes from the HBT. MESFETs. independent pulses of average value I DC . . it would sound like buckshot (pellets) striking a hard floor.2 Shot noise Shot noise arises when there is a direct current in a material. For an electronic current made up of random. It is not possible to detect shot noise in a uniform conductor because it is obscured by the random thermal motion of the electrons. this is also a white noise source. An np-junction is such a structure.3 (no ionized-impurity scattering.1) take advantage of this fact. 17. HBTs. Let us consider electrons as the charge carriers.2 Noise inherent to transistors 301 Generally. The design features of the HEMT discussed in Section 11. then that number would vary slightly about some mean value. which capitalizes on its geometrical features of large area and short base width to produce a current path that is not constricted by a narrow channel. Each injection event causes a random current pulse that can be detected in the external circuit. (17. Fourier Analysis reveals that the electron shot noise current is given by [1. For a DC current of 1 mA.32) σn = qnµe . the conductor must be part of a structure that allows essentially unidirectional flow. there will be shot noise in the gate current. the recombination velocity at a surface. e.2. the situation at the semiconductor/insulator interface of a N-MOSFET. and for a uniform distribution of activation energies within this range. α ≈ 1. Electrons can be captured in these potential wells.11) where. hence the alternate name of 1/f noise. and in the source current of MOSFETs. in which case thermal noise in the resistive channel dominates. it originates in the base and emitter currents of HBTs. Therefore. the carrier mobility. if the gate/barrier Schottky diode is forward biased.. giving rise to a fluctuation in the current that is called flicker noise. Sometimes this noise is referred to as ‘pink noise’.302 17 Transistors for low noise Forward biased np-junctions occur in HBTs. E a2 − E a1 (17. Thus. gate leakage currents in MOSFETs are another source of shot noise. a relationship that has been used is [2] τ = τ0 e Ea /k B T . the characteristic signature of flicker noise is an inverse dependence on frequency Sflick ∝ 1 . HJFETs are less prone to this because of the relatively large thickness of the barrier layer. This is obviously an inhomogeneous region. within which local variations in transport-related properties are to be expected. 17. before being released (by thermal emission) at some variable time τ later. shot noise arises in all these cases. Let us consider. LEDs and in MOSFETs when operating in the sub-threshold regime. then the moving electrons (say) can be impeded. the source/body np-junction is shorted by the inversion layer. fα (17.3 Flicker noise If there are imperfections or defects in the crystalline semiconductor through which the charge carriers flow. For a normalized distribution of activation energies g(E a ) within the range bounded by the deepest trap E a2 and the lowest trap E a1 . E a2 E a1 g(E a ) d E a = 1 and g(E a ) = 1 . solar cells. the tunnelling rate between different regions in a device. It is reasonable to assume that τ is longer for deeper wells. For MOSFETs in the above-threshold regime. The deviations from crystalline perfection can be regarded as producing local variations in.g.13) . in view of its existence at long wavelengths (low frequencies). for example: the recombination-generation rate in the bulk. Tunnelling is another transport mechanism in which the charge flow is due to random movements of independent carriers. as in enhancement-mode HJFETs. 17. for example. Irrespective of the particular mechanism that is operative in any one device.12) where E a is the activation energy (depth of a potential well (trap)). We will consider the situation depicted in Fig. However. (17.1. often. the carrier density or the bandgap in the bulk or at a surface. where local variations in the electrostatic potential give rise to electron traps of varying depth. whether it be due to thermal noise from the resistive nature of the channel. 11. after various times τ . The key point here is that the noise spectrum has a 1/ f dependence.2 Noise inherent to transistors 303 Energy t1 EC (x) t1 + t1 t2 t2 + t 2 x Figure 17. and release.1 Illustration of capture. In HJFETs. .2. rather than by a ‘rough’ semiconductor/oxide heterojunction. so another requirement of low-noise FETs is that L be small. or to 1/f noise due to the ‘charging’ and ‘discharging’ of localized traps. 126]. one side of the channel is defined by the ‘smooth’ edge of a depletion region. at various times t.8b).4 Induced gate noise Noise in the channel of FETs. so the induced gate noise becomes prominent at high frequencies. p. of electrons from traps of varying depths caused by crystalline imperfections at a semiconductor surface.14) where N 2 is the variance of the number N of untrapped carriers contributing to conduction in the channel. Hence. particularly between poorly lattice-matched materials. (E a2 − E a1 ) f (17. In all FETs. creates a time-varying local charge that couples capacitively to the gate electrode via C gs and C gd . the interface that defines the channel has a length that is about as long as the gate length. so flicker noise is less evident in this transistor. the use of lattice-matched materials. 17. It can then be shown [2] [1. 1/ f noise is much in evidence in MOSFETs. lead to the possibility of very low 1/ f noise. that the spectral number density is SN ( f ) = N2 kB T 1 . The impedance of these capacitive components decreases with frequency. In MESFETs.17. and a 2-DEG that keeps the centroid of channel charge away from the interface (see Fig. Traps and imperfections are often associated with interfaces. 17. Additionally. the correspondingly high transconductance makes for a low channel resistance.2. thereby reducing the principal intrinsic source of thermal noise. 17. Additionally.304 17 Transistors for low noise flicker log10 S shot + thermal corner frequency log10 f Figure 17. this frequency may approach 1 GHz for the drain-current noise. (17. for simplicity. HJFETs are well-suited to low-noise applications because they have less shot noise than HBTs and less flicker noise than MOSFETs. We consider the case of an HJFET intended for a low-noise amplifier application at high-enough frequencies for flicker noise not to be an issue. which is correlated with the noise in the drain current. essentially.2.15) If the noise sources arise from separate physical mechanisms they are independent of each other. For these reasons we will concentrate on the HJFET in the remainder of this chapter. to the smallsignal equivalent circuit of the transistor. and are said to be uncorrelated. Because of the mean-square representation of the noise. 17.2 General form of the spectral density for the case of uncorrelated noise sources. the total noise is 2 2 2 vn = vn1 + vn2 + 2vn1 vn2 . The overall spectral density will then have the general form shown in Fig. the total noise is simply the algebraic sum of each individual noise component. we neglect any shot noise. In HJFETs specifically designed to have low noise.3 Representation of noise in an equivalent circuit Noise is. This is primarily because in HJFETs designed for high mobility (HEMTs). where appropriate. This is the case for all the noise mechanisms discussed in this chapter. such as might arise . with the exception of gate-induced noise. so it is natural to account for it in a transistor by adding noise-voltage sources and noise-current sources.5 Adding-up the noise Imagine that two noise sources in a transistor are effectively in series. The frequency at which the extrapolations of the white and pink noise terms intersect is called the 1/f corner frequency. a small signal. In the uncorrelated case. only thermal noise sources are included in the equivalent circuit shown in Fig. from leakage current to the gate.3 (a) Small-signal equivalent circuit for an HJFET at high frequencies. Noise sources vNsig vNT iNT s i NL have been added to account for thermal noise in all the resistive components of the circuit.17.3a. The differences are: the upper-case subscripts have been changed to the more conventional lower-case. but these elements still represent total capacitances. Each noise source is designated by the inclusion of ‘N’ in its subscripted notation. ‘intrinsic charging resistors’ . The basis for this circuit is the equivalent circuit for a FET shown in Fig. (b) Equivalent representation of (a): the noise sources within the dashed box of (a) have been replaced by two noise sources in the external input circuit. the transcapacitance element has been omitted. A signal generator at the input and a resistive load at the output are also included. which implies that non-reciprocity is not important and justifies writing Csd as the more usual Cds . the prime has been dropped from the capacitor labels. Thus. 17.12. 14. gdd has been written as the more traditional gds .3 Representation of noise in an equivalent circuit 305 g + vNg + Rg R gs iNgs iNgd R gd + d vNs R s gmv gs gds R d vNd iNds s R sig + g Noiseless network (a) + d RL s (b) Figure 17. 17. so its associated noise is amplified by the transistor.6 how the maximum available gain M AG of a transistor depended on correctly matching the impedance of the signal source to that of the .306 17 Transistors for low noise Rgd and Rgs have been included in series with the respective components of the gate capacitance. and F is defined as the noise factor. the sources representing the total noise. It does this by comparing the noise that the transistor itself generates at the output of the network. and are represented by two noise generators at the input to the now noiseless transistor network (see Fig. They are relevant here because they are sources of thermal noise. Also shown in Fig.3b are a signal source. and a noisy resistor for the load. For this to happen. which adds some noise to the system via its series resistance. The noise figure can be derived from the ratio of input and output signal-to-noiseratios: N F = 10 log10 ≡ 10 log10 = 10 log10 Si /Ni So /No 1 No G A Ni total output noise amplified input noise inherent noise amplified input noise (17. the terminal currents and voltages (noise and signal) must be the same. 17. 17.3b). They represent the resistive paths within the actual device connecting the gate to either the source or the drain. Rgs is particularly important because it is on the input side of the device. and their values are usually determined from measurements on an actual device. 17. i N T and v N T are likely to be correlated. In other words.3a are not. to the noise at the output that comes from the amplification of any noise in the input signal.4 Noise figure Here. The noisy network in Fig. Measurements of NF and F are usually reported at a standard temperature of T0 = 290 K. We showed in Section 14. we employ the noise figure NF as a figure-of-merit by which a transistor’s noisiness can be characterized.16) = 10 log10 1 + ≡ 10 log10 (F) . For the two arrangements to be equivalent. where G A is the small-signal power gain that is available on account of the particular matching at both the input and the output. These resistors are not easy to quantify a priori. the noise figure quantifies how the signalto-noise ratio is degraded as the signal and the input noise pass through the transistor. even though the individual noise sources in Fig. 17.3a can be replaced by an equivalent arrangement in which the noise sources are separated from the physical components of their origin. respectively.17.3a have to be transferred to the input. and also on correctly matching the load to the output impedance. 17. . at the drain-end and under the gate at the source-end. and Td and Tg are adjustable model parameters for characterizing thermal noise in the channel. velocity saturation can occur.4 Noise figure 307 transistor’s input. C gd . Thus. 17. and gds .1 Associated gain Unfortunately. presumably. there will be some set of bias conditions at which N Fmin has a minimum value. the signal-source properties have to be adjusted to give minimum noise. each at a temperature that may not necessarily be T0 . p. Rs . whereas reducing VDS would reduce Ex and. and their resulting equation is Fmin = 1 + 2 f fT gds Td Tg Ta Ta Rgs . are then treated as contributing thermal noise. which was introduced in Section 14.40)). where the field is higher. Tg ≈ Ta . The available gain associated with operating under minimum-noise conditions is called the associated gain. minimum noise is added to the output of a transistor when there is appropriate matching at the input and the output [3]. this is the approach followed by Roblin and Rohdin [4. Fig.4.4 shows plots of N Fmin from (17.5 illustrates the difference between the two gains for a high-performance. The minimum noise figure for an actual low-noise HEMT is also shown in Fig. Chapter 17]. respectively.4. and the noise and signal at the output have to be determined.17) where f T is the intrinsic f T when C gd is ignored (see (14. Rd . this is modelled here by attributing a large value to Td . 17.6. the source impedance that minimizes the noise to allow attainment of N Fmin is not the same as the source impedance that maximizes the power gain.17) for an HJFET with the parameters listed in the figure caption. 17. When NF is minimized in this way we have the minimum noise figure N Fmin . Usually. As we saw in Section 5. To obtain a tractable. and of decreasing both gm and Td . the available gain under minimum-noise conditions is less than the maximum possible gain M AG. 17. and further simplify by ignoring the drain-related components. we start with the already approximate circuit of Fig. Ta is the ambient temperature. Td . To derive an expression for N Fmin is an exercise in Circuit Analysis: the noise sources in Fig. 592]. Rgs . Thus. analytical solution various simplified models have been proposed [4. Similarly. because Rgs is associated with the source end of the channel where the field Ex is relatively weak. The upper and lower curves of the simulated set show the effect of decreasing gm alone. Rg . The remaining resistive/conductive components.3a. + Rg + Rs T0 T0 T0 T0 (17. this phenomenon is associated with significant transfer of energy to the lattice. The intention is to indicate that N Fmin is dependent on the applied biases: decreasing VG S while remaining in the saturation mode would reduce the drain current and gm .4. 17. Towards the drain end. Here. and Rgd . Fig. In essence. low-noise HJFET from Triquint. T =T d m0 m0 d d d0 d0 d0 Minimum Noise Figure (dB) 2.9g m . Td0 = 3100 K. Denis. T = 0.5 g = 0.5 1 0.4 NFmin for HJFETs. Tg = Ta = T0 = 290 K.T =T g = 0. AlGaAs/GaAs HEMT from Triquint. courtesy of Tony St.1 . 200 µm wide. Denis. .5 Associated gain.25 mS. 150-nm gate-length.17) using the following parameters kindly supplied by Hans Rohdin of Avago Technologies: gm0 = 78. VDS = 1 V I D = 10 mA. Rgs = 0.308 17 Transistors for low noise 3 g =g m m0 . 30 25 Associated Gain MSG/MAG 20 Gain (dB) 15 10 5 0 0 20 40 60 Frequency (GHz) 80 100 Figure 17. is 200 µm wide.42 .5 0 0 20 40 60 Frequency (GHz) 80 100 Figure 17. Rs = 7 . 150-nm gate-length. The gate is . The line data are computed from (17. VDS = 1 V I D = 10 mA for the associated gain measurement and 15 mA for the MSG/MAG measurement. The gate . AlGaAs/GaAs HEMT from Triquint. maximum stable gain.9g m . courtesy of Tony St. C gs = 42.7 mS. and maximum available gain of a low-noise. gds = 3. Rg = 6. The symbol data is from a low-noise.65 fF. f T = 264 GHz.6T 2 1. 2 f (GHz) 72 76 80 84 88 92 96 100 NFmin (dB) 2. 1984. Noise in Solid State Devices and Circuits.4. High-speed Heterostructure Devices. 1993. Proc. Use this value of f T in (17. 285. Prentice-Hall.32 1. 427–432.. John Wiley & Sons.17). This measured data is given in the table below.9 2 2. Improve the fit by slightly varying the adjustable parameters Tg and Td .28 2.46 1.48 0. [2] M. Cambridge University Press.64 2. [3] G. Chovet and A.1 Fig.1 2.8 1.2 f (GHz) 36 40 44 48 52 56 60 64 68 NFmin (dB) 1. .References 309 Exercises 17. Perhaps you will be as surprised as I was that the two curves are in such good agreement.06 1. AIP Conf. Appendix L.56 2. The measured f T for this transistor is 135 GHz.92 1. 17. 17.38 2. 1986. Microwave Transistor Amplifiers: Analysis and Design. 1/f Trapping Noise Theory with Uniform Distribution of Energy-activated Traps and Experiments in GaAs/InP MESFETs Biased from Ohmic to Saturation Region.7 2. [4] P.58 1. 17. Roblin and H. van der Ziel.32 0. Clei. and can be taken as the intrinsic value.17) with measured data from a low-noise HEMT from Triquint.. vol.4 compares minimum-noise-figure calculations from (17.4. Rohdin. and plot both the measured and calculated data on a graph similar to Fig. along with values for the other parameters from the caption to Fig.64 0. Gonzalez. 2002.86 References [1] A. A.68 1. Inc. 2nd Edn. Chertouk.04 0.. f (GHz) 1 4 8 12 16 20 24 28 32 NFmin (dB) 0.16 0.78 2.78 0.48 2. and molecular switches have been under investigation for many years. that cannot be matched using conventional processing techniques [1]. is the fabrication of transistors from the ‘bottom up’. This miniaturizing trend has led. but rather on the change of spin of electrons [4]. Another example is the molecular switch. and HJFETs discussed in earlier chapters: it involves the making of something small from something large using photolithographic masking techniques. . An extreme example of this is the single-electron field-effect transistor (SET). a true nanotransistor is built-up from something even smaller. then present-day devices. What nanoelectronics implies. such a device would also be very fast.10. so we might reasonably expect this to continue into the near future. are desired because they enable portable. would already qualify as nanotransistors. as explained throughout this book. Although ‘spinFETs’. SETs. nanoelectronics does not mean. Clearly. The latter process is the one that applies to all the HBTs. involving a voltage-controlled oxidation/reduction reaction of a complex molecule. higher performance electronic products. In my opinion. rather than from the ‘top down’. contrary to popular belief. in which the drain current is due to tunnelling of electrons that are accumulated singly in a capacitor ‘island’ between the closely spaced source and drain [2]. Si MOSFETs with channel lengths of ≈40 nm. as the dates of the cited references attest. Generally speaking. engineers belong to neither of these groups. as discussed in Section 13. it is evident that the trend in high-performance transistors is towards smaller and smaller devices. If it did. One way in which future transistors might avoid this problem is by using very few electrons. However. and InAlAs/InP HJFETs with channel thicknesses of a few nanometres. for which much of the world’s population appears to have a craving. MOSFETs. such as InGaP/GaAs HBTs with basewidths of around 30 nm. This opens up opportunities for new methods of self-assembly (perhaps using biological recognition). One of the difficulties that arises with densely packed transistors is heat dissipation. and for new 3-D circuitry (perhaps using a molecular backbone). Contrarily. the prospect of commercial devices is not imminent. in the early part of the 21st century. Smaller transistors. each end of which is connected to an electrode [3]. Another way of reducing power would be to not rely on electron transport.18 Transistors for the future Predicting the future is the realm of prophets and gamblers. to me at least. such as a molecule or a nanoparticle. to much talk about nanoelectronics. merely the scaling down of transistors to devices with feature sizes of the order of tens of nanometres.1. two candidate nanotransistors are FETs utilizing either semiconducting nanowires (Si. would contain approximately 1 dopant atom! One way to circumvent the issue of fluctuations in doping density would be to avoid using extrinsic semiconductors. mean that the active volume of these transistors is going to be very small. then there would be the possibility of ballistic transport between the source and drain. a wire with a length of 10 nm. which is an expression for the equilibrium mean-free-path length l¯0 between collisions in terms of the mobility and the effective mass. the effective density of states and the mean. 18. a radius of 2 nm. InAs are being tried).066 m 0 . question: what is the achievable repeatability of dopant incorporation in such small structures? For example.12 and 0. which would be the ultimate electrostatic solution to the short-channel-effect problem. in which case the spacing between states in k-space is 2π/L (from . and develop an expression for the drain current due to ballistic transport in a 1-D FET. GaN. and if its length were short. and a high-ish doping density of 8 × 1018 cm−3 . in the next two sections.19 m 0 and 0. but returning now to the traditional. transconductance.45).1 1-D carrier basics In this section expressions are derived for the following one-dimensional properties: the density of states. 18. gatecontrolled. and the small channel lengths (≈10 nm). For example. f T . unidirectional thermal velocity. gives l¯0 ≈ 25 nm for Si.8 m2 V−1 s−1 for Si and GaAs. This raises an interesting. The cylindrical geometry of these devices begs an all-around gate. Such a transistor.1 1-D carrier basics 311 Still within the realm of nanotechnology. if made from a nanocylinder. and metals could be employed for the source and drain regions. Ge. To appreciate the length scale at which ballistic transport might become operative. consult (5. Therefore. the electron concentration. Taking near-intrinsic values for mobility (0. and very practical. an intrinsic semiconductor could be used for the channel. f max . The use of materials such as InAs and C. respectively). multi-electron-transfer type of device. We highlight several properties of such a Schottky-barrier nanoFET later in this chapter. and parasitic resistance.18. in which an extremely high mobility can be obtained. The small diameters of these embryonic transistors (≈1–5 nm). or nanotubes (carbon).1 Density of states We assume that the wire or tube is long enough so that periodic boundary conditions can be applied. would be advantageous as regards many features: ON-current. noise figure. would be essentially a 1-D structure. which can be anticipated if these devices are to be significantly smaller than planar Si MOSFETs. and corresponding effective masses of 0.1. and l¯0 ≈ 100 nm for GaAs. These differ from the corresponding 3-D expressions given in Chapter 3 and Chapter 4 mainly by the order of the Fermi-Dirac integrals involved. we describe some basic properties of 1-D semiconductors. n0 = ∞ EC g(E) f 0 (E) d E ≡ 0 ∞ g(a) f 0 (a)k B T da .4). 18. respectively. Several of these are shown in Fig. ∞ −∞ g(k) dk = 0 ∞ g(E − E C ) d(E − E C ) . and because this radius is very small.1). 18. Thus.3) Making use of the general relationship for the band-determined carrier velocity (2. k or (E − E C ) in this case. π (18. the actual spatial density of states must be unchanged.5) There is no ambiguity about the value to take for m ∗ as the system is one-dimensional. (18. where L is the length of the semiconductor. The associated g(E) profile has a sawtooth appearance.23).31) can be used to obtain an explicit relation between g and E: g(E) = 2 h 2m ∗ (E − E C ) E ≥ EC . the number of states per unit real-space length in a length δk of k-space is simply g(k)δk = δk ..9). note that whatever variable we use. there will be quantum confinement of the wavevector in the radial or circumferential directions. therefore.1) To find the number of states in an equivalent element δ E in energy.1. where n ≥ 1 is an integer. In fact. Specifically. the allowed circumferential wavevectors are kc = nπ/c. (2. i. because we are considering wires or tubes of finite radius. π (18.2) From this it is clear that g(E − E C )δ(E − E C ) = 2g(k)δk = 2 δk . and k is the wavevector in the longitudinal direction. Note that g in the 1-D case goes to infinity at the conduction-band edge (see Fig. we obtain the desired expression for the number of states per unit length and unit energy. Allowing for spin.6) . For a tube of circumference c. for example.312 18 Transistors for the future Section 2. (18.1. (18. 18. For each allowed value of kc there is an E-k band called a sub-band.e. there can be two electrons per momentum state.2 Carrier density The equilibrium carrier density for one sub-band follows from (4. (18. for electrons.4) Assuming a parabolic band. the 1-D density of states: g(E) = 4 hv(E) E ≥ EC . where. Taking f 0 as the Fermi-Dirac distribution function gives: n0 = 2 2π m ∗ k B T h2 1/2 F−1/2 (a F ) ≡ NC F−1/2 (a F ) . as used in Section 4. the construct for obtaining v R from vth is a line. it serves also to define the 1-D effective density of states NC corresponding to the 3-D version in (4. the mean.3. Thus. At low carrier concentrations there is essentially no difference between 2v R in the two cases.1. d = 1. (18. unidirectional velocity v R of the entire distribution is simply vth /2.1 1-D carrier basics 313 Figure 18. The second form of this equation is the 1-D equivalent of (4. πm ∗ F−1/2 (a F ) (18. a = (E − E C )/k B T . The mean. Evidently.02 nm (dotted line). The 3-D and 1-D magnitudes of 2v R are compared in Fig.8) This equation is the 1-D equivalent of (4.29). thus it is exactly the same as vth . unidirectional velocity of a 1-D equilibrium distribution Following the procedure described for the 3-D case in Section 4. . rather than a sphere. in the 1-D case. the two NC ’s differ only in the power of the exponent. it is straighforward to show that the mean thermal speed for a 1-D equilibrium distribution is vth = 2k B T F0 (a F ) .5).10).5.7) where a F = (E F − E C )/k B T .11). unidirectional velocity of the distribution that is moving in the positive direction is 2v R .2. But as the carrier concentration increases. 18.3 Mean. ex-UBC. 4. which was the case for 3-D (see Fig. Courtesy of Leonardo Castro. 18. the electrons in the 1-D distribution move increasingly faster.18.1 E C -k relation for several sub-bands and positive k. and density of states g for two carbon nanotubes of different diameter: d = 1.25 nm (solid line). Because we are working in only one dimension. unidirectional equilibrium thermal velocity for 3-D and 1-D semiconductors. (18. The incident electron currents at some energy E are simply the products of the charge and the velocity of electrons with k > 0. m ∗ = 0. the subscript indicates the origin of the carriers (source or drain). 2 (18. for example.5) 2.2 1-D ballistic transport Consider the case of electrons being injected into a 1-D semiconductor from two 1-D metallic contacts: the source on the left of Fig. 18.10) where the factor of 1/2 in the density of states arises because only states in the positivegoing half of the distribution contribute to the injection. and the drain on the right. Quantum mechanical reflection at the two metal/semiconductor interfaces leads to some of the incident flux from the source JS being reflected and some being transmitted into the drain. 18. for example. gives the total spectral current of electrons: Je (E) = T (E)JS (E) + [R(E) − 1] J D (E) = T (E) [JS (E) − J D (E)] . respectively. T = 300 K. Here. Adding up the two fluxes in the drain.314 18 Transistors for the future 3 3-D 1-D 2vR /(2kBT/ π m* ) (0.5 2 1.9) where T (E) and R(E) are the transmission and reflection probabilities.3a.1m 0 . An analagous situation pertains to electrons in the incident flux from the drain J D . at energy E for the entire system. JS (E) = −q g S (E) f S (E) · v(E) . The electrons are at an energy E that is greater than the energy E C of the edge of the first sub-band in the semiconductor.2 Comparison of the mean. At the source. f S (E) is the Fermi-Dirac .5 1 0 (E C 5 E )/k T F B 10 Figure 18. 18. (b) At a sufficiently large bias VDS for the drain-originating electrons to be totally reflected. reflected.3a the Fermi levels in the source and drain are at the same energy. .3b shows. so (18. Eventually.4). J D (E) can be written analogously. T (E) and R(E) are transmission and reflection probabilities. Now consider applying a bias VDS > 0. In Fig. As Fig. E (18. E (18.18. the barrier for electron injection from the drain is so high that the current saturates at I Dsat ≡ Je at saturation = −q 2 h T (E) f S (E) d E .11) Notice how g and v are no longer explicit in this equation because their product is a constant. this current is altered by applying a gate bias: this varies T (E) by changing the shape of the E C profile. and making use of (18. Substituting into (18. 18.12) In a FET. distribution function for electrons in the source. leads to the Landauer expression for the electron current: Je = −q 2 h T (E) [ f S (E) − f D (E)] d E .11) correctly predicts that the current is zero. (a) At equilibrium. and transmitted components of electrons in currents originating in the source (JS (E)) and in the drain (JD (E)). respectively.3 Incident.9). so |Je | increases.2 1-D ballistic transport 315 JS RJS TJD JD TJ S RJ D EF EC metal source semi– conductor (a) JS metal drain TJ S JD RJ S EFS qV DS JD EFD (b) Figure 18. E F D drops below E F S . and f is the distribution function. E) = −qG S (x. in a 1-D system they have the same units. 1. An example of the LDOS for a Schottky-barrier carbon nanotube FET is shown in Fig.26) for the fundamental expression for carrier concentration. Five levels of quasi-bound states are readily identifiable in this example.316 18 Transistors for the future 18.1 Dimensions for current density Note that in (18. for example. This need does not arise when evaluating the current because that depends on the wavefunctions via T (E). E) = A S (x.2 Local density of states An informative way of following the injection of charge into the 1-D semiconductor is via the local density of states LDOS. E) . the units are A m−2 . E) has been normalized as described in the next subsection. not an absolute value. the LDOS refers in this case to the states in the semiconductor that are available for occupancy by electrons injected from the source. Thus.12) we made no distinction between the current and the current density (except for a conventional sign).16) ∗ To evaluate this we need to know ψ S (x. There is injection from both the source and the drain contacts. There is a large change in k as electrons enter and leave the semiconductor. as evinced by the states within the bandgap at each end of the device.4. which is superimposed on the figure.2. Thus.14) where ψ S (x. Thus. To appreciate this refer to (3. and the result is Je (α) = −q filled states vk ⇒ −q 2 (2π )α k f (k)vk d k . we need to normalize the amplitude of the wavefunction.2. 2. 18. (18. This is because. for α = 3. which is a ratio.2. 1 The states are not fully bound. E) f S (E) . (18. E)ψ S (x. as in a deep potential well for example.E)x (18. A m−1 . E)ψ S (x. . because electrons in the states do escape to the contacts.13) where α is the dimensionality of the volume under consideration. A. 18. and this gives rise to resonances and quasibound states1 . (18. where A S (x. E)eik S (x. E) = ψ S (x. This is defined as ∗ G S (x. The distortion is caused by the presence of a drain voltage: this produces a potential variation of the first conduction sub-band edge. E) absolutely.15) is the wavefunction at some point x and some energy E of an electron issuing from the source. is Q S (x. respectively.3 Evaluating the charge The actual charge due to source-injected electrons. multiply it by −qv to get a current density. 18. k S (S. m ∗ (D) (18. T (E) is given in the context of our example by T (E) = k S (D. The usual procedure of normalizing via ψψ ∗ d = 1 is not possible here because the electron is not confined to a known volume. for the source-originating electrons that have reached the drain. E)|2 (18. VDS = 0. E) m ∗ (S) | A S (D.18) where the subscript S defines the origin of the injected electrons as the source. E) m ∗ (D) | A S (S. the probability density current is J P = −q k S (D.8 −5 0 5 10 z (nm) 15 20 25 Figure 18.4 LDOS for a carbon nanotube FET with tube of length 20 nm and Schottky-barrier source and drain contacts.18.2 −0. their wavevector is k S and the amplitude of the incident wavefunction is | A S |. so we cannot assume that the entire wavefunction is confined to the vicinity of the semiconductor.2 1-D ballistic transport 317 0. which are effectively regions of semi-infinite length. we normalize via equating the Landauer current to the probability density current [5]. The latter follows from (5. E)|2 . E)|2 .2 0 Energy (eV) −0. Courtesy of Leonardo Castro. Within the . Instead. E) | A S (D.6 −0.5 V and VG S = 0. The profile of the edge of the first conduction sub-band is shown. We have open boundary conditions at the contacts. ex-UBC.17) In the expression for the Landauer current.52).9 V. and.4 −0. and microscopic phenomena. resonance. In our brief treatment of 1-D nanoelectronic systems we have seen that quantum phenomena are very much in evidence. E) f S (E) + G D (x. such as reflection. for o simplicity. The effective-mass form of Schr¨ dinger’s equation is used in this example. E)ψ S (x.3 Master set of equations for 1-D simulations (5. A self-consistent solution to the equations of Poisson and Schr¨ dinger is sought. E) π 2 2 (18. S or D denotes the position (source or drain) at which the particular property needs to be determined. can be represented by some average property (mobility in this case). In particular. Thus. E) f S (E + q VDS ] d E 2 Je (E) = −q T (E) [ f S (E) − f D (E)] h Eψ(x. ensemble-averaged quantities such as mobility and v R are not usually employed because we try to keep track of each electron in its state k at energy E.. and the Landauer current is used in the normalization of the wavefunction ψ.24) is the master set of equations for use in 3-D systems that are large enough to be treated ‘semi-classically’.52). E) . Generally.20) ˜ where ψ S is the un-normalized wavefunction. E) − 2 2m ∗ d 2 ψ(x.21) where V is used for potential in order to avoid confusion with the wavefunction ψ. scattering for example. E) = −q g S (E) ˜∗ ˜ f S (E)ψ S (x. Quantum Mechanics is very much to the fore. k S (S.e. the desired relationship between probability density and charge for sourceoriginating electrons is Q S (x. and equating to the current given by (5. The o charge Q e is the link between these two equations. and tunnelling. the charge and the current are restricted to electrons. E) = E C (x)ψ(x. E) . E)|2 = m ∗ (S) 1 g S (E) f S (E) ≡ f S (E) . dx2 (18. we obtain a definite value for the previously arbitrary amplitude: |A S (S. and this gives the new master set of equations a different appearance: d 2 V (x) Q e (x) =− dx2 Q e (x) = −q E [G S (x.11). i. 2 (18. quantum-mechanical phenomena.19) Therefore. a charge profile Q e (x) is chosen. 18. as described in the previous section. Inserting into (18. subject to appropriate boundary conditions. and Poisson’s equation is solved for V (x). can be ignored. In a truly 1-D system these would be the potentials on .318 18 Transistors for the future brackets ( ). and. and x = L on the drain side) are determined by the edges of the space-charge regions at the metal/semiconductor junctions. from o which a new Q e (x) is found via the LDOS.4 Comparison of 1-D and 2-D currents In Fig. and for v R from (4. (18.23) and (18. Such a comparison is relevant to FETs as the channel in MOSFETs is essentially a charge sheet.22) where is a work function.23) by a classical treatment of the type we employed to get the current in 3-D systems. Taking the source as reference: V (0) = 0 and V (L) = VDS + S − q D . we can obtain such an expression for a 3-D system by substituting for n 0 from (4.29): J Dsat (3D) = −q 22 (k B T )2 m ∗ π F1 (a F ) ..18. The resulting V (x) is then used in the Schr¨ dinger equation to solve for ψ(x). instead.10) and (4.25) It is possible to derive an expression for J Dsat (2D) directly. 18. Setting T (E) = 1 in (18.25) to infer a general expression for the maximum current in terms of .12) and expressing the integral as a Fermi-Dirac integral gives 2 I Dsat (1D) = −q k B T F0 (a F ) .23) By setting the transmission probability to unity we are removing the quantummechanical features from the treatment. This comparison is not easy to make for at least two reasons: (i) the potential profiles. we will be comparing the maximum theoretical currents. However. are likely to be significantly different. and the ends of the contacts (x = 0 on the source side. but.4 Comparison of 1-D and 2-D currents 319 the end contacts. we could derive (18. and hence T (E). 2 (18. we’ll attempt to make a comparison between the currents in 1-D and 2-D systems. i. as discussed in Section 10. Nevertheless. The relevant expression for the current density is J Dsat = −q n0 2v R . By ‘classical’ we mean taking an average value for the electron velocity. A more practical comparison would be between the currents in sytems of different dimensionality. The work-function difference term should be recognizable from Chapter 10 as the built-in voltage of the system. The process is iterated until convergence at the desired tolerance is obtained. h3 (18.2. let us use (18. Therefore.3.e. 18. We circumvent the first difficulty by assuming that T (E) = 1 for all energies. (ii) the current densities have different dimensions. h (18.24) We do not have yet an expression for the current in a classical 2-D system.2 we showed that there is some slight advantage as regards electron mean velocity in going from a 3-D.to a 1-D-structure.11). 03m 0 . hα (18. Let’s consider the case of (E F − E C ) = 10k B T . the ratio of maximum theoretical currents for 1-D and 2-D systems is h 1 I Dsat (1D) F0 (a F ) √ = . m ∗ = 0. and to ≈9 × 1012 cm−2 in the 2-D case. and comes out even better when it is realized that the lowest conduction sub-band in carbon nanotubes is doubly degenerate. 18. m ∗ = 0. realize that Si N-FETs in the 45-nm technology of 2009 can attain I Dsat values of about 1.26) The appropriate values for the coefficients for the different dimensionalities are given in Table 18. for a width equivalent to 2d = 2 nm. and that states could be populated in higher-order sub-bands.26) for the maximum current in systems of a given dimensionality. To make a comparison of currents in 1-D and 2-D. the current would be 3 µA. whereas the Si figure has been attained in practice. it would be prudent to keep in mind that the carbon nanotube figure is a theoretical maximum value. The carbon nanotube FET looks very good in this comparison.1. The advantage in current of the 1-D case over the 2-D case.08m 0 .5 mA µm−1 .1 Values for the coefficients to be used in (18. However. where d is the diameter of the cylindrical semiconductor of the 1-D system. In this example there is some advantage in terms of maximum theoretical current to employing a 1-D semiconductor. we consider two cases using parameters for carbon nanotubes: d = 1 nm. the maximum theoretical current is at least ≈40 µA. The theoretical current in the nanotube in this case is ≈20 µA. we need to multiply the 2-D expression by the width Z of the channel.27) To obtain a quantitative comparison.5 nm−1 in the 1-D case. Here.08m 0 . we elect to take Z = 2d. that are dimension-dependent: J Dsat (α D) = −q 2β (k B T )β (m ∗ π )γ Fγ (a F ) .5. is the main determinator of the electrostatic conditions in each nanocylinder. α. . To put the latter number into some sort of practical perspective. γ . Thus. System 1-D 2-D 3-D α 1 2 3 β 1 3 2 γ 0 1 2 2 1 three coefficients. then some separation between them is needed to ensure that the gate electrode. Results are shown in Fig. Thus. Under these conditions. is about 3 times. rather than the neighbouring semiconductors.320 18 Transistors for the future Table 18. and d = 3 nm. rather than current densities. We use 2d rather than d because if we imagine assembling lots of nanowires or nanotubes in parallel. which corresponds to an electron concentration of ≈0. m ∗ = 0. for the example of d = 1 nm. β. I Dsat (2D) 2d 2k B T m ∗ π F1/2 (a F ) (18. but dangerous: it is very premature to conclude that CNFETs can take over from Si MOSFETs as the upholders of Moore’s Law. Carbon nanotube development is still in the laboratory stage. and results for two different cases are shown. then the electrons injected into the drain must eventually lose their excess energy in thermalizing collisions.5 Novel features of carbon nanotube FETs 321 8 7 d = 1 nm.18. The comparison is interesting. there is no energy loss in the intervening semiconductor. m * = 0. 5. However.03 6 Imax(1−D)/Imax(2−D) 5 4 3 2 1 −4 −2 0 2 4 (EF − EC )/kBT 6 8 10 Figure 18. This means that it should be possible to operate ballistic transistors at enormous current densities without risk of the device burning out. Perhaps it would be more useful to focus on any novel features of nanotransistors that could enable applications which seem beyond both Si MOSFETs and the other modern transistors treated in this book? Two such features of CNFETs are mentioned very briefly below. with reference to Fig. m * = 0.7. operable current density. . The width of the 2-D semiconductor is 2d. 18. 18.5 Novel features of carbon nanotube FETs In the comparison of currents made in the previous section. Thus. if the metallic contacts to the semiconductor are to remain in a near-equilibrium state. the contacts get hot and become the defining region for the safe.08 d = 3 nm. and of having that chip work reliably for many years. and has proven capable of putting hundreds of millions of transistors on a chip. carbon nanotube field-effect transistors (CNFETs) were pitted against Si MOSFETs.1 Energy dissipation in ballistic transistors The picture we have presented of ballistic transport is of an electron leaving the source at energy E and arriving at the drain at the same energy. whereas Si MOSFET technology is highly advanced.5 Comparison of 1-D and 2-D currents.4. Thus. 4 become filled according to the positions of the contact Fermi levels E F S and E F D . 18.9 V in this case. Examples of this bias dependence of the capacitances in a CNFET are shown in Fig. 18. (b) transconductance.6a.6 Bias-dependent small-signal properties of a Schottky-barrier CNFET using a nanotube of diameter = 1.5. VDS = 0. [6]. length = 20 nm. source and drain work functions = 3. for example. The energy of the quasi-bound states is determined by the gate-source voltage VG S .5 V (a) Gate-related capacitances. A change in semiconductor charge via the drain due to a change in gate voltage is represented by a capacitance C DG . using the notation of Chapter 12. . . rather than from the usual. (c) f T . the energy of the first quasi-bound state is close to E F D : this means that charge is injected into the semiconductor from the drain. merely electrostatic interactions that are responsible for metal/insulator/metal capacitance. In the instance shown. C 2005 IEEE.322 18 Transistors for the future Figure 18. 18. Thus C G D is reduced.9 eV. From Castro et al. reproduced with permission. which is ≈0.1 Quantum capacitance and transconductance The LDOS shown in Fig. As VG S is reduced. and injection from the drain diminishes. Further reduction in VG S eventually aligns the energy of the first quasi-bound states with the source Fermi level. resulting in a peak in the source/gate capacitance C SG . the edge of the first conduction sub-band is raised.26 nm. These capacitances are called quantum capacitances because they arise from quantum phenomena (LDOS). gate and nanotube are all the same.2 V (solid lines and arrows). but in CNFETs they can be important.7 shows the situation for a Schottky-barrier CNFET in which the work functions of the source. Thus. However. Perhaps there is some useful application that could exploit this novel feature? 18.5 Novel features of carbon nanotube FETs 323 Figure 18. as VG S is increased.6c.5 eV. C 2004 SPIE. 10.5. From Castro et al.15 for an N-FET). VDS is fixed and the profiles of the first conduction and valence sub-bands for three values of VG S are shown. and so does gm .4 V Hole injection at VG S = 0. At low VG S there is hole injection from the drain via tunnelling. the device is operating like a P-FET. .35 V (dashed line and arrow). the overall current does not keep on decreasing.05 V (dotted line and arrow). Again. the band edge is ‘pushed down’ and gm shows a peak when the energy of the first quasi-bound state is close to E F S . This current diminishes as the barrier at the drain thickens on increasing VG S . Further increase in VG S lowers the barrier for electron injection into the drain.18. because the barrier at the source becomes thinner. Because the conduction-band structure and valence-band structure are symmetrical in carbon nanotubes. as in a Si MOSFET (see Fig.26 nm. work functions of the nanotube and all metals = 4. hole and electron injection at VG S = 0. Thus. providing the work functions of the two contacts are favourable. as shown in Fig.2 Ambipolarity So far in this chapter we have neglected holes.7 Energy band diagram for a Schottky-barrier CNFET using a nanotube of diameter = 1. The net effect of these changes in capacitance and transconductance is an interesting bias-dependence in f T . 18. Fig. [7]. holes can be just as easily injected into a nanotube as electrons. enabling . reproduced with permission. Part (b) of the figure shows that there is bias dependence in the transconductance also. 18. electron injection at VG S = 0. VDS = 0. length = 20 nm. drain. the overall current of electron flow from source to drain decreases. 7. the ambipolarity of the device.e. of the type shown in Fig. 18. Region 2 being the channel.. C 2004 SPIE. However. then the position along the tube of the maximum in the n(x) p(x)-product will be determined by the gate bias. 5. this means that there can be light emission. the overall log I D -VG S curve shows a minimum. . To do this. reproduced with permission. From Castro et al.8 Gate characteristics at VDS = 0. 18. Thus. Therefore. unidirectional velocity of electrons in a 2-D equilibrium distribution. 18.11). electron flow by tunnelling from the source. a CNFET with this particular set of work functions would make a poor transistor for digital-logic applications. and imagine this to represent a 1-D transistor.2 eV and various source/drain work functions: 3. . its ability to inject both electrons and holes. i. as illustrated in Fig. unidirectional velocity of electrons in 1-D and 3-D equilibrium distributions appear in the text. because the relative amounts of electron and hole injection depend on the band-bending at the two contacts. As the bandgap is direct in carbon nanotubes. on VG S .2 It is instructive to calculate the current in a 1-D nanosystem using (18.9 (solid line). 4. we have a light-emitting transistor with voltage control of the site of emission. means that.324 18 Transistors for the future Figure 18. 4. and Region 3 being the drain. Surely there is an application for this novel phenomenon? Exercises 18. [7]. (b) Confirm your intuition by deriving an expression for the 2-D v R .8.5 eV (dashed line).4 V for the CNFET used for Fig. in long devices.. (a) Write down these expressions. Because of this inability to attain a very low OFF current.2 (dotted line). there is likely to be significant electron-hole recombination within the nanotube. but with gate work function = 4. Further.e. and from them infer the expression for the mean. consider an asymmetrical rectangular barrier. with Region 1 being the source.1 Expressions for the mean.8. i. The smallness of the capacitances will give you further appreciation of the scale of nanodevice properties.1 and −0. (c) Plot Mason’s Unilateral Gain as a function of frequency and extrapolate to find f max . It’s also why the source and load impedances for the s-parameter measurement are so high. It is easier to add series resistances to an impedance network than it is to add them to an admittance network. gm . as mentioned in Section 14.11 the small-signal y-parameters for a 2-port network were introduced. (18. (a) You should find that T (E) peaks at values close to 1 at energies of 137. respectively. You should find it peaking at about 131 meV. 0. these are very large. That’s because it’s a nanowire transistor.1m 0 in all regions. Make the channel 10 nm long. take m ∗ = 0.8. (c) Finally. . U2 and U3 . drain and gate are all 1 k . gdd . The resulting extrinsic z-parameters are: z 22e = y33 /Y + Rsd z 23e = −y23 /Y + Rs z 32e = −y32 /Y + Rs z 33e = y22 /Y + Rsg Y = y33 y22 − y32 y23 .Exercises 325 For a particular example. 2 Yes. The source and load impedances were 50 k . C gd . Adding the parasitic resistances of the source. take the energies in eV to be 0. Actual measurements on high-frequency devices usually employ s-parameters. we wish to examine the model parameters of a nanowire field-effect transistor. (a) Use the conversion formulae to obtain the z-parameters and the y-parameters at the given frequencies.2 estimate the following device parameters: C gs . Conversions between all 2-port parameters are well-documented [9]. drain and gate to the circuit allows the extrinsic performance of the device to be examined. The measured s-parameters are given in the table below.28) where Rsg = Rs + Rg and Rsd = Rs + Rd . Here.5 for U1 .or y-parameters on a graph from which it is possible to estimate f T . and used to examine an intrinsic MOSFET. 18. Csd . (b) Plot the appropriate functions of the z. Cm . integrate the spectral current density to find that the current in this example is about 19 nA. 251 and 443 meV. and evaluate the transmission probability T (E) for energies up to about 10k B T above U1 . (b) Now use (18.3 In Exercise 14. (d) Given that the resistances of the source.11) to get the spectral current density. so it is usual to employ z-parameters for the extrinsic case [8]. Proc.. 5276.C. L. 1380–1382.32615703010880 − 0. M.03054522445715i −0. vol. John Wiley & Sons Inc. .06530039195981i 0. A. M. 4.L. [3] J. Appl. 3. Pulfrey. [8] W. Castro.S. 87. NSTI Nanotechnology Conf. 205–211.00307926667866i −0. 42. Microelectronics.16212743411278i 0.326 18 Transistors for the future (e) Use your ‘reverse-engineered’ parameter values from the previous question to evaluate f T from (14.J.00027605948194 + 0.06630950138903i 0.31021796439278 + 0. Science. Pourfath. Method for Predicting f T for CNFETs.00187960147356i 0.10893550076219i −0. D. vol. M. Gehring and H. Pulfrey. Large On-Off Ratios and Negative Differential Resistance in a Molecular Electronic Device.01230196618653i −0. vol. Tour. M. An Evaluation of CNFET DC Performance.00171348446647 + 0. 665–667. Datta and B.02617069629805i −2.01634532553216i 0.89547971380160i s23 −2.43160307403116i s32 0. 1550–1552.98527101475528 − 0.C. Berman. Likharev. 65–68. Pulfrey.10197010357623i s22 −0. vol.L. [5] D.K. Tech.00750899184418i 0. DNAtemplated Carbon Nanotube Field-effect Transistor.62319029818602 + 0. John. Reed.34292424363059 + 1. 56. vol. Pereira and D.79015015095037 − 0. D. Conversions between S. IEEE Trans. p. [9] D. 1994. D. Frickey. and HFETs/HEMTs. [6] L.64300928913059 + 0.00001727511869 + 0. f (GHz) 1 4 10 40 100 f (GHz) 1 4 10 40 100 s33 0.16999464828556i References [1] Kinneret Keren.A. MESFETs. Das. Castro. Nanotechnology. 286. IEEE Trans. 1999. and Photonics III. SPIE. John and D. Kosina. H. and Trade Show. Liu. Microwave Theory and Techniques. Rawlett and J. Fundamentals of III-V Devices: HBTs. Z. Evgeny Buchstab.10455300242331i −2. 1990.L. 699–704. P.99762701570972 − 0. Single-electron Devices and Their Applications. Science. 2004.09455821595567 + 0. Lett. 1–10. Castro.47515899209335 − 0.99985150454822 − 0.64657736369830 + 0. 606– 632. IEEE. Y. Hopefully there is excellent agreement with the value computed above from part (b).32385070665862 − 0. [2] K. vol. Uri Sivan and Erez Braun. Phys.C. vol. A. 2005. 1999.18708292391116 − 0. [4] S.36257812914205 − 0.L. ABCD. Proc.01864175295064i 0. vol.02441224807920 + 0.32343549257613 − 0. and T Parameters which are Valid for Complex Source and Load Impedances.57753956483546i 0. [7] L..L. Rotem S.92448253847297i −1. A. 302. 440. 2004. Chen. John. 1999.40). 2003. Device and Process Technologies for MEMS. Proc. Electronic Analog of the Electro-optic Modulator.L.25958029893259i −2. A Schr¨ dinger-Poisson Solver for o Modeling Carbon Nanotube FETs. vol. posted 23 September. or are required to complete some of the exercises.org/resources/5475/]. Those values that are listed here.63 × 10−34 3 × 108 0.6 × 10−19 9.0259 0.rssi.2 Appendix B: Selected material properties This appendix indicates where to find information on the numerical values of those material properties that are used in the figures and examples in this book. 3rd Edn. available online: [http://www. .1 × 10−31 1.S... Appl. The polarization properties of AlGaN come from Ambacher et al. 2008. Notes on Fermi-Dirac Integrals. Phys. 1999.05 × 10−34 1.1 Appendix A: Physical constants Constant Boltzmann’s constant Dirac’s constant Elementary charge Electron rest mass Electron volt Permittivity of free space Planck’s constant Speed of light in vacuum Thermal energy at 300 K Thermal voltage at 300 K Symbol kB q m0 eV 0 Value 1.85 × 10−12 6. thereby providing a glossary of selected terms. The symbols for the properties are also listed.ioffe. Kim and M.19 Appendices 19.6 × 10−19 8.38 × 10−23 1. Lundstrom. 3222–3233. come mostly from Semiconductors on NSM.0259 Units J/K Js C kg J F/m Js m/s eV V h c kB T Vth or k B T /q 19. J. and which do not appear elsewhere in the book.. Online [http://nanohub. ru/SVA/NSM/Semicond/]. please see: R. For evaluating Fermi-Dirac integrals. 85. 1 take to be same as GaAs m ∗ = 0.3 see Table 2.1 8 eV see Table 2.1 take to be same as GaAs see Section 5.64 × 1.0077x nm (cont.89 eV 1.3 see Fig. 8. m h.2 take to be same as GaAs see Table 2.42 eV see Table 4.CON Effective mass (density of states) ∗ m∗ e. N V Effective mass (conductivity) ∗ m∗ e.) Electron affinity χ Energy bandgap Eg Intrinsic carrier concentration n i = pi Lattice constant a .3 take to be same as GaAs see Fig.2 take to be same as GaAs see Table 3.3189 − 0. 5.51 P Alx Ga1−x As Alx Ga1−x N Si SiO2 GaAs In0.328 19 Appendices Diffusion length Le.3 0.51 P Alx Ga1−x N √ compute from Dτ see Fig.9 eV 4.48 + 0.1 see Table 4. m h.247x eV 4.31x e h 4. D h Drift velocity vde .2 see Fig.083x.067 + 0.2 see Fig.07 eV 4.2 see Section 5.49 Ga0.49 Ga0. m ∗ = 0.49 Ga0.543 nm see Fig. 11.07 eV 4.2 and Table 4.1 see Table 4.07 − 0.1 1.424 + 1.1 see Table 4.3 take to be same as GaAs see Fig.565 nm 0.19) 0.4.1 0.87x eV see Table 2.247x eV x 2 + 1. m ∗ /m 0 e h Si GaAs AlGaAs & InGaP Si GaAs AlGaAs & InGaP Si GaAs InGaAs & Ge Si GaAs AlGaAs & InGaP Si GaAs InGaP Si GaAs InGaP Si SiO2 GaAs InGaP Alx Ga1−x As Si SiO2 GaAs In0.7x + 3.DOS . 5. 5. 9.CON .4 0.51 P Alx Ga1−x As Alx Ga1−x N Si GaAs AlGaAs & InGaP Si SiGe GaAs Alx Ga1−x As In0.DOS Effective mass (band structure) m ∗ /m 0 . vdh Effective density of states NC .2 and Table 4.1 − 1.565 nm 0. 5.2 see Table 3.1 compute from (4.01 eV 0.4. Lh Diffusivity De .565 nm for x ≤ 0. 2 and Table 16.3 3.3 take to be same as GaAs see (3.49 Ga0.30) and Fig.21) see (3.0 CMOS45 45 nm nm cm−3 cm2 (Vs)−1 cm s−1 V 0 NA µeff vsat VD D .0 CMOS65 65 1.9 12.9 8.7 3.3 Ga0.1 Polarization – piezoelectric PP z Polarization – spontaneous PSp Saturation velocity (electrons) vsat 19. and exercises in this book.RG .2 take to be same as GaAs use m ∗ (x) in (4. Units L tox ox CMOS90 90 2. 5.51 P Alx Ga1−x N Al0.5 − 0.8 9. B. Data for the 45-nm technology node is not widely available. but perhaps will become so in the near future.31) and Fig. 5. Ah .9 3.9 2.9 − 2.2 and Table 16.1 see Table 3.22) take to be same as GaAs see Table 3.3 Appendix C: N-MOSFET parameters The parameters listed below are used in many of the examples. figures.052x C/m2 see Fig.2 see Table 4. 5.1 take to be same as GaAs 11.19.1 see Table 16. µh Recombination-Generation lifetime τe.30) e see (5.e Mobility µe . C.3 Appendix C: N-MOSFET parameters 329 Mean unidirectional thermal velocity v R.3 see (5.5x −0.9 12. D Relative permittivity (static value) r or / 0 Si GaAs InGaP Alx Ga1−x As Si GaAs AlGaAs & InGaP Si GaAs AlGaAs & InGaP Si GaAs AlGaAs & InGaP Si SiO2 GaAs Alx Ga1−x As In0.029 − 0.84x 11.7 N Alx Ga1−x N Si GaAs GaN see Table 4. 5.6 × 1018 600 9 × 106 1.1 see Fig.0113 C/m2 −0. They are intended to be representative of Si NFETs at the 90-nm and 65-nm technology nodes. in which case you can fill-in the blanks.RG Recombination parameters Ae . τh.3 × 1017 230 7 × 106 1. 329 NAND gate. 122 body-effect coefficient. 281 field strength. 7 blocking contact. 266 basis. 210 HBT base storage. 217 intrinsic. 92 chromaticity coordinates. 185. 154 bandgap narrowing. 226 silicon-on-insulator. 11 Bravais lattice. 218. 318 current in HBT. 324 associated gain. 240 . 183. 158 effective-mass SWE. 221 HBT. 15 built-in voltage 1-D FET. 148 CMOS BiCMOS. 7 Bloch’s Theorem. 214 transit FET. 121 band index.Index abrupt junction. 36 base graded. 121 spreading resistance. 281 back surface field. 322 reciprocal. 203 periodic. 225 inventor of. 161 SiGe. 201 MOScap. 323 channel-length modulation. 91 absorption coefficient. 186. 173 capacitance definition. 262 Bloch wavenumber. 117 ambipolarity. 10 bandgap engineering. 222 MOSFET extrinsic. 9 real semiconductors. 240 chip cross-section. 281 Zener. 220 carbon nanotube FET. 214 non-reciprocity. 52 chemical potential energy. 243 Brillouin zone. 319 Np-junction. 14 reduced-zone. 119 air mass number. 213 negative. 93 MESFET. 189 Boltzmann Transport Equation. 119 free-carrier. 25 infinite well. 109 np-junction. 307 avalanche breakdown. 260 solar cell. 15 X −point. 148 diagram. 118 excitonic. 262 bulk. 236 charge sheet approximation. 3 BiCMOS. 210 quantum. 220 junction. 11 −point. 12 band structure extended-zone. 260 HBT. 179 chemical potential. 64–67 boundary condition 1-D FET. 219 emitter storage. 3 breakdown avalanche. 225 model parameters. 8 Bragg reflection. 234 thermal. 277–279 buried word line. 91 electron wavefunction. 18 density of states. 36 halo. 44 local. 11 reduced. 12 conduction-band edge. 110 under bias. 75 crystal momentum. 77 drift. 55 density of states 1-D. 6 electron-hole pair. 79 deep depletion. 281 effective density of states 1-D. 3 current ballistic. 66 kinetic energy density. 22 negative. 52 Maxwell-Boltzmann. 67 drift velocity. 148 colour rendering index. 60. 121 emitter-coupled logic. 3 sphalerite. 316 depletion region Depletion Approximation. 43 Fermi-Dirac. 36 n-type. 68 elastic constants compliance. 16 doping density. 55 doping. 3 wurtzite.Index 331 collisions mean free path. 66 current density. 229 stiffness. 147 conduction band. 212 diffusion. 78 ambipolar. 75 general expression for. 246 . 278 degenerate bands. 238 DRAM. 37 donor. 107 diffusivity definition. 21–23 contact blocking. 198 continuity equation charge density. 229 electrochemical potential energy. 287 constant-energy surfaces. 278 plate electrode. 311 localized. 54 effective mass band structure. 45 longitudinal. 153 IGBT. 63. 96 heterojunction. 11 crystal structure basis. 67 crystal momentum. 37 pocket implants. 206 3-D. 279 evolution of. 16. 311 types of. 9. 18 conductivity. 74 conductivity modulation. 290 zinc blende. 22 Einstein Relation. 149 colour-matching functions. 239 retrograde in MOSFETs. 68 values for. 93 electron affinity. 108. 277 refreshing of. 237 dielectric relaxation time. 102 DIBL. 73 diode ideality factor. 35 acceptor. 73. 19 parabolic band. 78. 239 in nanostructures. 295 solar cell. 37 p-type. 3 diamond. 278 Drift Diffusion Equation. 122 ohmic. 286 direct lattice. 101 diffusion. 49 colour gamut. 311 charging. 287 velocity. 313 conduction band. 151 transverse. 13 distribution function. 80. 54 valence band. 71 saturation. 64 leakage gate-induced. 25 conductivity. 122. 80. 239 drain extensions. 312 2-D. 33 emitter HBT. 243 MOSFET. 96 width. 21 reduced. 221 impact ionization. 140 radiatiative recombination efficiency. 269 forward blocking voltage. 146 Fermi level. 13 internal quantum efficiency. 23 hybrid-π equivalent circuit. 52 chemical potential energy. 129 indirect. 304 hybrid-π. 140 high-brightness. 82 equilibrium electron concentration. 287 world record. 161 GaAs. 69 IGBT. 25 construction of. 139. 259 high-power. 19 heavy. 158 cut-off region. 311 evaluation of. 255 eye sensitivity function. 109 Type II. 143 heterostructure diode. 161 hemi-Fermi-Diracian. 201. 266 HBT DC. 24. 2. 17 Hamiltonian. 109 HJFET field plate. 143 current efficiency. 243 gradual channel approximation. 105. 156 saturation region. 199. 256 HEMT. 16 for solar cells. 34 injection high-level. 166 current boundary condition. 143 Type I. 148 . 288 energy band. 158 LED active layer. 164 small-signal. 57 hemi-Maxwellian. 16 envelope function. 64 electrons. 164 recombination. 327 order one-half. 54 FET depletion mode. 42 low-level. 293 f T . 251 GIDL. 162 collector current characteristic. 140 extraction efficiency. 161 measured f T . 256 normal mode. 110 bandgap engineering. 6 HBT active region. 68 Kirk effect. 54 equivalent circuit FET DC. 52 Maxwell-Boltzmann. 257 at high current. 315 Law of the Junction. 285 inverse mode. 139 wall-plug efficiency. 93 Fermi-Dirac integrals. 119 kinetic energy density. 25 mean thermal. 1 high-power. 264 maximum frequency of oscillation. 255 Hydrodynamic Equations. see HJFET InP. 177 group velocity. 9 energy band diagram. 23 light. 294–296 image charge. 304 heterojunction band offset. 1 high-frequency. 289 spacer layer. 159 common-base gain. 12 direct.332 Index energy balance. 57 HEMT. 206 holes. 140 confinement layers. 284 Landauer equation. 42 insulator. 200 f max . 94. 166 common-emitter current gain. 146 white light. 202 enhancement mode. 161 base current back-injection. 154 lattice-matched. 191 small-signal. 1 low-noise. 100 energy bandgap. 161 quasi-neutral base. 143 voltage efficiency. 289 GaN. 2. 92 electrochemical potential energy. 179 chip. 177 body-effect coefficient. 174 gate characteristic. 184 short-channel effect. 295 L-DMOSFET. 313 mean. 170 surface of. 302 corner frequency. 316 luminous efficacy. 300 noise factor. 306 minimum. 122 ON resistance IGBT. 106 lifetime. 269 Maxwellian distribution displaced. 185 surface potential. 146 luminous efficiency. 185 Level 49. 51 definition. 170 body factor. 42 mobility. 171 nanotransistors. 303 shot. 185. 170 body. 177 long-channel behaviour. 76 mean thermal speed. 68 effective in MOSFET channel. 275 non-volatile. 71 non-isothermal. 243 substrate. 176 multiple gate. 51. 273–276 multi-level cell. unidirectional velocity. 171 channel-length modulation. 64 . 171 threshold voltage. 169 drain characteristic. 175 strong. 313 mean free path. 200 MOSFET. 26 Miller indices. 95. 52. 26 majority carrier. 180 gate stack. 169 sub-threshold region. 171. 170 p-channel. 185 light-emitting transistor. 306 noise figure. 239 short-channel effect. 179 values for. 13 metallurgical junction. 3 MOSFET n-channel. 183. 12. 73 momentum. 233 high-power. 300 thermal (Johnson). 14 minority carrier diffusion length. 269 master set of equations. 310 noise 1/f. 188 square-law. 301 spectral power density. 172 P-FET. 147 luminous flux. 107 radiative. 60. 180 flat-band. 1 drain. 324 local density of states. 186. 228 passivated. 172 sub-threshold slope. 171 surface potential. 146 macroscopic. 182 source. 125 Pauli’s Exclusion Principle.Index 333 Level 1 model MESFET. 37 Mason’s unilateral gain. 177 onset of. 190 model Level 1. 302 induced gate. 1. 252 ohmic contact. 55 phase space. 78. 307 non-quasi-static. 71 1-D. 275 single-level cell. 304 correlated. 2. 173 moderate. 1 inversion. 80. 177 weak. 182 charge sheet approximation. 276 metal. 237 transfer characteristic. 241 ON-current. 189 channel. 225 quantum-mechanical effect. 184 saturation voltage. 318 isothermal. 172 saturation current. 311 memory dynamic. 264 maximum stable gain (MSG). 98 microscopic. 304 flicker. 292 overdrive voltage. 71 maximum available gain (MAG). 58. see DRAM flash. 129 tandem. 107 reverse bias. 40 Relaxation Time approximation. 111 quasi-Fermi potential. 211 radiometry. 99 turn-on voltage. 148 colour gamut. 182 pinch-off voltage. 205 in a LED. 241 solar cell conversion efficiency. 146 real-space lattice. 39 radiative. 131 . 2. 322 quantum state. 74 RESURF effect. 12 phase space. 50 screened. 36 intrinsic. 30 longitudinal modes.334 Index phonons. 124 short-circuit current. 208 MESFET. 134 sustainability. 104 quasi-neutrality. 50 carrier-carrier. 140 infinite. 281 scaling. 116 photovoltaics building-integrated. 227 scattering. 130 open-circuit voltage. 73. 147 photovoltaic conversion efficiency. 12 quasi-Fermi energy. 127 photocurrent density. 30 photometry. 50 Schottky barrier. 148 chromaticity diagram. 50 polar optic phonon. 126 superposition. 72 ionized-impurity. 146 chromaticity coordinates. 38 radiative efficiency. 237 effective threshold voltage. 259 silicon-on-insulator. 13 extrinsic. 203 Poynting vector. 128 Si. 2 pinch-off HJFET. 30 optic. 6 o the effective mass. 149 colour-matching functions. 50 piezoelectric scattering. 237 charge sharing. 13 reciprocal lattice k-space. 129 dark current. 32 short-channel effect. 42 R-G. 254 saturation velocity. 131 thin-film. 146 luminous efficacy. 147 eye sensitivity function. 281 defects. 121 traps. 39 minority carrier lifetime. 295 quantum capacitance. 143 SRH. 30 polar scattering. 2. 89 resistivity. 30 acoustic. 2. 200 MOSFET. 91 forward bias. 99 ideal diode. 101 transit time and. 50 tranverse modes. 40. 50 deformation potential. 146 luminous efficiency. 106 I-V. 50 inter-valley. 103 splitting. 294 reverse feedback parameter s32 . 198 nanoFET. 126 fill-factor. 4 Wigner-Seitz. 238 signal delay time. 201 pn-junction abrupt. 100 region of. 15 numbers. 195 height. 161 potential well finite. 91. 24 semiconductor. 118 primitive cell. 311 Schr¨ dinger Wave Equation. 128 multicrystalline. 268 conductance. 76 quasi-Fermi level. 107 saturation current. 148 colour rendering index. 240 dynamic threshold-voltage effect. 237 DIBL. 39 surface velocity. 4 punch-through. 37 Auger. 13 recombination. 129 the effect. 173. 269 strain. 2. 84. 211 transport ballistic. 202 vacuum level force-free. 164 MOSFET. 17 wavefunction. 73 tunneling. 172. 183. 85 transmission probability. 238 transcapacitance. 68 thermal voltage. 185 stability inherently stable. 6 Webster effect. 82 tunneling. 254 transfer characteristic HBT. 243 inverse slope. 229 liner. 93 spin-orbit coupling. 231 sub-threshold current. 12 velocity overshoot. 134 temperature electron. 91 local. 311 dissipative. 148 work function. 16 square-law model. 68 lattice. 214 transconductance. 73. 231 stressor. 207 MOSFET. 241 flash memory. 318 2-DEG. 148 wavelength conversion. 238 space-charge region. 288 wave packet. 82 JWKB Approximation. 94 threshold voltage dynamic effect. 229 stress. 16 band splitting. 275 HJFET. 269 maximum stable gain. 121 sustainability LEDs. 171 transit time. 287 white light. 243 surface recombination velocity. 289 stationary. 147 additive colour mixing.Index 335 source extensions. 63 non-local. 189. 195 Zener breakdown. 149 photovoltaics. 93 valence band. 243 . 63.
Copyright © 2024 DOKUMEN.SITE Inc.